카테고리 없음

SPS GLOBAL overseas inventory list 3

SPS 2024. 5. 9. 18:54

Hello, I'm a semi shop specializing in surplus equipment delivering new values!

I'm uploading our overseas inventory list

Our semi-shop will always try to deliver the best quality with customer satisfaction first.

If you're curious or if there's a product you need

Please feel free to contact me!!

 

to contact

kyjang@semi-sps.com

+82 010-4955-5061

whatsapp:

https://whatsapp.com/channel/0029Vae5fP0DOQIagRvaNB1X

Applied Materials 3830-01018 REV 3830-01018 REV LABEL LASERTAB MARKER WHT POLYEST LAM

CAMTEK XACT XACT TEM/STEM sample preparation system

CTI Cryogenics 8033167 8033167 Torr High Vacuum Pump

EDA Industries ERNI 914796 RC 914796 RC BURN IN BOARD SOCKET Hard Metric Connectors (154 Contacts, Vertical, Gold Plated).

ENI OEM-650A OEM-650A Generator

FSI 500539-004 500539-004 Parts & Structures Manual

Kensington WFH4C WFH4C wafer robot with aligner

KLA-TENCOR 546860-27 546860-27 Operation manual

Mattson AST3000 AST3000 RTP

Nitto 44941001 44941001 TAPE SPOOL

RF Services RFS 3006 RFS 3006 Match

Seren I1827MWF I1827MWF Generator

Tektronix PS 280 PS 280 DC Power supply (Working condition)

Teradyne 950-212-03/B 950-212-03/B Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /B 55119 9328

Yokogawa TS670 TS670 Tester

AMAT CENTURA I P2 MxP+ Oxide (2CH) 2829 ETCH 6"

ADVANTEST M6541AD M6541AD Test Handler

AE (Advanced Energy) MDX Magnetron MDX Magnetron Generator

Applied Materials HDP-TOP HDP-TOP RF GENERATOR

Applied Materials Centura DPS2 AE Minos Poly Centura DPS2 AE Minos Poly Dry Etch with 2CH DPS2 and 2 CH Axiom

CANON Fujitsu denso 4250-E921 Fujitsu denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

CTI Cryogenics 8033167 8033167 Torr High Vacuum Pump

EDAX Eagle 2 Eagle 2 Micro-probe EDX analyser

ENI OEM-650A OEM-650A Generator

FSI Saturn Saturn Manual General

Kensington WFH4D WFH4D wafer robot with aligner

KLA-Tencor Hamamatsu R1924A Hamamatsu R1924A Photomultiplier

MATTSON HELIOS HELIOS RTP

Nitto 1603502 1603502 TAPE SPOOL

RF Services RFS 3009 ICPSM RFS 3009 ICPSM Match

Seren I1827MWF I1827MWF Generator

Tektronix 11801C 11801C Digital Sampling Oscilloscope

Teradyne 950-687-01 rev D 950-687-01 rev D Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /D 9752 L200

Zeiss Axiospect 300 Axiospect 300 Optical Review System

AMAT CENTURA II DPS Poly (2CH) 566634718-72 ETCH 8"

ADVANTEST T5593 T5593 Automated test Equipment

AE (Advanced Energy) MDX Magnetron Drive MDX Magnetron Drive Generator

Applied Materials MARKⅡDPS MARKⅡDPS RF GENERATOR

Applied Materials 3830-01034 REV 3830-01034 REV LABEL LASERTAB MARKER WHT POLYEST LAM

Canon Fujitsu Denso 4250-E921 Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

CTI Cryogenics 8033167 8033167 Torr High Vacuum Pump

Edwards Flange Flange Edwards pump flange 10 7/8" i.d. 11 3/8" O.D.

ENI OEM-650A OEM-650A Generator

FSI Mercury MP Mercury MP Spray Processing system Operation & Maintenance Manual

KEYENCE VHX-2000 D VHX-2000 D Digital Micrsocope for laboratory / Assembly inspection use

KLA-TENCOR Pittman 9433F528 Pittman 9433F528 Servo Drive motor for KLA 7700 Surfscan

MATTSON HELIOS HELIOS RTP

NK RM 120 RM 120 REAL TIME MONITOR

RF Services RFS 3018 RFS 3018 Match

Seren I2000 I2000 Generator

Tektronix 2432A 2432A Digital Oscilloscope, 2 channel, with GPIB

Teradyne 950-561-04/A 950-561-04/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60620 9547

ZEISS Stemi 2000 Stemi 2000 Stereozoom Microscope

AMAT VANTAGE-VULCAN 425921 RTP 12"

ADVANTEST M6541AD M6541AD Test Handler

AE (Advanced Energy) MDX Master MDX Master Generator

Applied Materials P5000 CVD P5000 CVD DxL

Applied Materials 0020-20626 REV C 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE

Canon FPA-5510iX FPA-5510iX I line stepper

CTI Cryogenics 8033168 8033168 Torr High Vacuum Pump

EDWARDS iH1000 Mark 5 iH1000 Mark 5 Dry vacuum Pump

ENI OEM-650A OEM-650A Generator

FSI Chemfill systems Chemfill systems Model 1000 CDM/ PPS Manual Rev D

KEYENCE FU-12 FU-12 PHOTO SENSOR

KLA-TENCOR 563234-27 563234-27 Reference manual

MATTSON RFS3019 RFS3019 RF GENERATOR

Nordson Matchbox Matchbox Match

RF Services RFS 500 RFS 500 Match

Seren L301 L301 Generator

TEL Tokyo Electron INDY INDY Vertical Furnace

Teradyne 880-751-10 /E 880-751-10 /E Precision Measurement unit PCB, REV E

Zeiss corygon 2.8/60mm corygon 2.8/60mm 2.8/60mm objective lens

AMAT VANTAGE-VULCAN 426995 RTP 12"

ADVANTEST T5585 T5585 Automated test Equipment

AE (Advanced Energy) MDX Slave MDX Slave Generator

Applied Materials DPS II DPS II AE Poly G3 dry etcher

Applied Materials 3870-01281 REV 3870-01281 REV VALVE BLANK PLATE ASSY FOR SMC P/N NVJ11

Canon Fujitsu Denso 4250-E921 Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

CTI Cryogenics 8116036 G 002 8116036 G 002 Pump On Board

EDWARDS iH1800 Mark 5 iH1800 Mark 5 Dry vacuum Pump

ENI OEM-650A OEM-650A Generator

FSI Chemfill systems - Manual Chemfill systems - Manual Model 1000-4 PLC CDM Supplementary Training Package

KEYENCE PS SERIES PS SERIES PHOTO SENSOR

KLA-Tencor 7700M (Spares) 7700M (Spares) 8 inch ceramic chuck table for KLA 7700M

MATTSON MILLIOS MILLIOS Rapid Thermal Anneal

Nordson Matchbox Matchbox Match

RF Services RFS 500/700 RFS 500/700 Match

Seren L301 L301 Generator

TEL Tokyo Electron INDY INDY Vertical Furnace

Teradyne 405-167-00 405-167-00 Power Supply 8 Amp, 28V

Zeiss Axiotron (spare Parts) Axiotron (spare Parts) Axiotron microscope spare parts

AMAT PRODUCER-GT(EFEM) AMAT.54-1111-001 CVD 12"

ADVANTEST M6541AD M6541AD Test Handler

AE (Advanced Energy) MDX-10K Master MDX-10K Master Generator

Applied Materials CENTURA DPS G3 CENTURA DPS G3 Poly 1ch / Mesa 1ch

Applied Materials 3870-01284 3870-01284 VALVE BLANK PLATE ASSY FOR SMC P/N NVJ3023

Canon BG3-3822 BG3-3822 DMP Pre-amp PCB for Canon FPA 5000 ES2, 3 etc.

CTI Cryogenics 80182 G 001 80182 G 001 Torr Pump

EDWARDS iXH-1820H iXH-1820H Dry Pump

ENI OEM-650A OEM-650A Generator

FSI Zeta 300 G3 Zeta 300 G3 Wafer Acid Spray Cleaner, Hot SPM Process

KEYENCE PS SERIES PS SERIES PHOTO SENSOR

KLA-TENCOR 990-039410-00 990-039410-00 210 e-series theory

MATTSON 17500675-00 17500675-00 Aspen OEM manual volume I

Nordson Matchbox Matchbox Match

RF Services RFS 500/700 RFS 500/700 Match

Seren L301 L301 Generator

TEL Tokyo Electron INDY PLUS INDY PLUS BCD POLY

Teradyne 405-096-00 405-096-00 POWER ONE Power Supply 150 Amp, 230 VAC (S233)

Zeiss C35 C35 35mm Camera with microscope attachments

LAM C3 SPEED(3CH) 32431 CVD 12"

Advantest M6541AD M6541AD Test handler with Cold Option

AE (Advanced Energy) MDX-10K Master MDX-10K Master Generator

Applied Materials Centris AdvantEdge G5 Mesa T2 Poly Centris AdvantEdge G5 Mesa T2 Poly Polysilicon Etch with qty 6 MESA 2 chambers

Applied Materials 0090-20042 REV D 0090-20042 REV D ASSY 3 WAY VALVE

Canon BG3-1045 BG3-1045 REF-MEM (RT) PCB for Canon FPA 5000 ES2, 3 etc.

CTI Cryogenics Cryotorr 100 Cryotorr 100 Cryopump

EDWARDS iGX100L iGX100L Dry Pump

ENI OEM-650A OEM-650A Generator

FSI * Mercury MP * Mercury MP * Acid Spray Process System

KEYENCE PS SERIES PS SERIES PHOTO SENSOR

KLA-Tencor AIT-1 SHIPPING KIT AIT-1 SHIPPING KIT AIT-1 SHIPPING KIT

MATTSON 17500675-00 17500675-00 Aspen OEM manual volume II

Nordson Matchbox Matchbox Match

RF Services RFS 500/700 RFS 500/700 Match

Seren L301 L301 Generator

TEL Tokyo Electron ACT 12 ACT 12 Photoresist coater + developer track, dual block, 4C4D

Teradyne UltraFLEX UltraFLEX Automated Test System

Zeiss AxioMAT screws AxioMAT screws AxioMAT Thumbscrews, spare parts

LAM 2300 FLEX45(3CH) 99299 ETCH 12"

Advantest M6771AD M6771AD TEST HANDLER

AE (Advanced Energy) MDX-10K Slave MDX-10K Slave Generator

Applied Materials P-5000 POLY P-5000 POLY RF GENERATOR

Applied Materials 0190-20015 REV E 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR

Canon BG3-3868-000 BG3-3868-000 MBD Unit (Masking Blade Driver) for Canon FPA5000ES2/ES2+

CTI-CRYOGENIC 8040406 REV C 8040406 REV C ONBOARD FASTREGEN OPERATION MANUAL

EDWARDS E2M5 E2M5 Mechanical Vacuum Pump

ENI OEM-650A OEM-650A Generator

FSM SYMPHONYMC SYMPHONYMC Life Time

KEYENCE VHX-2000 D VHX-2000 D Digital Micrsocope for laboratory / Assembly inspection use

KLA-TENCOR 905-664046-000 905-664046-000 25x2 analysis workstation

MATTSON 17500675-00 17500675-00 Aspen OEM manual volume III

Nordson Matchbox Matchbox Match

RF Services RFS 500/700 RFS 500/700 Match

Seren L301 L301 Generator

TEL Tokyo Electron VIGUS Mask VIGUS Mask Dielectric Etch

Teradyne 961-129-01 961-129-01 Teradyne J971 test system power control panel

Zeiss Turret Turret Turret 4 position for Zeiss, Leica and others, good condition

LAM 2300 FLEX45(3CH) 116595 ETCH 12"

Advantest T5371 T5371 Automated test equipment

AE (Advanced Energy) MDX-20K Slave MDX-20K Slave Generator

Applied Materials DPS II DPS II AE Poly G3 dry etcher

Applied Materials 0020-20626 REV C 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE

Canon BG5-1262 BG5-1262 MLE-IF2 PCB for Canon FPA 5000 ES2, 3 etc.

CTI-CRYOGENIC 8040491 REV B 8040491 REV B ONBOARD CRYOPUMP INST. MAINT MANUAL

EDWARDS E2M18 E2M18 Mechanical Vacuum Pump

ENI OEM-650A OEM-650A Generator

FSP GROUP INC 100-240 W 100-240 W POWER SUPPLY for a p.c.

Keysight / Agilent / Hewlett-Packard (HP) 4073A 4073A Parametric Tester

KLA-TENCOR 7700M 7700M SENSOR OPTICAL

MATTSON 299-31000-00 299-31000-00 Aspen strip system manual

Nordson Matchbox Matchbox Match

RF Services RFS 500/700 RFS 500/700 Match

Seren L301 L301 Generator

TEL Tokyo Electron VIGUS RK2 VIGUS RK2 Dielectric Etch

TERADYNE CATALYST CATALYST EDS/ TEST

Zeiss 910137 910137 Microscope illumination transformer

LAM 2300 EXELAN (4CH) 1001862-04-07-00014 ETCH 8"

Advantest T5585 T5585 Automated test equipment

AE (Advanced Energy) MDX-20K Slave MDX-20K Slave Generator

Applied Materials CENTURA DPS G5 MESA CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch

Applied Materials P5000 P5000 CVD

Canon Chuck, 8 inch Chuck, 8 inch Wafer Chuck for EX3, EX4, i4, i5

CTI-CRYOGENIC 8040406 REV C 8040406 REV C ONBOARD FASTREGEN OPERATION MANUAL

EDWARDS iQDP80/QMB500 iQDP80/QMB500 Dry Vacuum Pump/Blower Package, with Lower Enclosure

ENI OEM-650A OEM-650A Generator

FUJI CP32 CP32 CIRCUIT PROTECTOR

Keysight / Agilent / Hewlett-Packard (HP) 4073B 4073B Parametric Tester

KLA-Tencor RIBBON CABLE

MATTSON HELIOS HELIOS RTP

Nordson Matchbox Matchbox Match

RF Services RFS 500/700 RFS 500/700 Match

Seren L301 L301 Generator

TEL TOKYO ELECTRON 3387-002688-12 3387-002688-12 Tel P8XL Camera assembly

TERADYNE 950-217-04 REV B 950-217-04 REV B PC BOARD FOR TERADYNE J971 SP

Zeiss 990634-5100 990634-5100 Joystick, Carl Zeiss

LAM 2300E5 EXELAN FLEX EX 126469 ETCH 12"

Advantest T5381ES T5381ES ATE Tester

AE (Advanced Energy) MDX-20K Slave MDX-20K Slave Generator

Applied Materials RF MATCH3 RF MATCH3 RF GENERATOR

Applied Materials 0050-76664 REV A 0050-76664 REV A GAS LINE CHAMBER 2 PROCESS WC UPPER MIXE

CANON BG3-3831 BG3-3831 RT-DSP PCB for Canon FPA 5000 ES2, 3 etc.

CTI-CRYOGENIC 8040491 REV B 8040491 REV B ONBOARD CRYOPUMP INST. MAINT MANUAL

Edwards EPX500NE EPX500NE Mechanical Vacuum Pump

ENI OEM-650A OEM-650A Generator

FUJI SEIKI & SILENT FA-1612HCB-C FA-1612HCB-C FA.FWM.

KINETIC SYSTEMS Vibraplane 1202-22-12S Vibraplane 1202-22-12S Vibration Isolation Table, 47" x 36"

KLA-TENCOR 563226-27 563226-27 Software version 5.0 manual

MATTSON HELIOS HELIOS RTP

Nordson Matchbox Matchbox Match

RF Services RFS 500/700 RFS 500/700 Match

Seren L601 L601 Generator

TEL Tokyo Electron Expedius Expedius Acid Wet bench

TERADYNE 950-561-04 REV A 950-561-04 REV A PC BOARD FOR TERADYNE J971 SP

Zeiss 477473-0207 477473-0207 Cover plate

LAM 2300E5 EXELAN FLEX EX(MF Only) 1017439-15-18-1463 ETCH 12"

Advantest T5375 T5375 Automated Test Equipment

AE (Advanced Energy) MDX-20K Slave MDX-20K Slave Generator

Applied Materials CENTURA DPS G5 MESA CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch

Applied Materials 0020-20919 REV C 0020-20919 REV C COVER CVD POST

Canon 6736A 6736A reticle handling robot for i4, iW, i5, i5+, EX3, EX4, EX5, EX6

Custom Polypropylene 3 ft Bench Polypropylene 3 ft Bench 3ft Develop Hood Positive Resist Batch

EDWARDS STP-XH2603P STP-XH2603P Turbomolecular pump

ENI OEM-650A OEM-650A Generator

Fujikin FBSDV-6.35-2B3-BGC FBSDV-6.35-2B3-BGC VALVE BLOCK DIAPH 1/4VCR-F/F/F NC/NC VIM VAR 5RA

KINETIC SYSTEMS Vibraplane 1201-01-11 Vibraplane 1201-01-11 Vibration Isolation Table 30"x35"x29"(h)

KLA-TENCOR 546879-27 546879-27 Software version 4.1 manual

MATTSON PARADIGME SI PARADIGME SI Dry Strip

Nordson Matchbox Matchbox Match

RF Services RFS 700 RFS 700 Match

Seren L601 L601 Generator

TEL Tokyo Electron Mark8 Mark8 Lithography Coater and Developer with 2c, 2d

TERADYNE 950-562-00 REV A 950-562-00 REV A PC BOARD FOR TERADYNE J971 SP

Zeiss 475690-0206 475690-0206 Joint plate

LAM LAM ALLIANCE6 9400DFM - ETCH 8"

Advantest T5585 T5585 ATE Tester

AE (Advanced Energy) MDX-5K MDX-5K Generator

Applied Materials CENTURA DPS G5 MESA CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch

Applied Materials 0150-21344 0150-21344 CHAMBER D INTERCONNECT EMC COMPLIANT

Canon Omron APR-S Omron APR-S Reverse Phase Relay for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

Custom S-Cubed S-Cubed Spin Coat Bake System

EDWARDS STP-1003C STP-1003C Turbomolecular pump

ENI OEM-650A OEM-650A Generator

Fujitsu Denso FDS26-29 FDS26-29 750W 26V29A Power supply FOR ADVANTEST T5335P TESTER

KLA AIT FUSION UV (SPARES) AIT FUSION UV (SPARES) Hard Disk Drive with software for KLA AIT Fusion UV

KLA-Tencor 2xx (SPARES) 2xx (SPARES) Control Paddles for KLA 2xx reticle inspection system

MATTSON PARADIGME SI PARADIGME SI Polysilicon Etch

Nordson Matchbox Matchbox Match

RF Services RFS 712 RFS 712 Match

Seren MC2 Controller MC2 Controller Miscellaneous

TEL Tokyo Electron P-12XL P-12XL Prober

TERADYNE 950-681-00 REV A 950-681-00 REV A PC BOARD FOR TERADYNE J971 SP

Zeiss Axiospect Axiospect Wafer Inspection Microscope

LAM LAM ALLIANCE6 9400PTX - ETCH 8"

Advantest BGR-016797 BGR-016797 ADVANTEST T5335P PC Board (was 80207)

AE (Advanced Energy) MDX-5K MDX-5K Generator

Applied Materials P5000 CVD P5000 CVD DxL

Applied Materials ® 0190-33289 0190-33289 RTP CHAMBER LAMP

Canon video impedance adapter video impedance adapter 5 channel video impedance adapter

CVC 31-313216-8171 31-313216-8171 CONNEXION INSTRUCTION MANUAL

EDWARDS ih600 Mk5 ih600 Mk5 Vacuum pump

ENI HF-3000-50 HF-3000-50 HARMONIC FILTER ASSY

Fujitsu Denso FDS11+11 FDS11+11 750W 34A Power supply FOR ADVANTEST T5335P TESTER

KLA 2131 2131 Inspection System

KLA-Tencor 655-03737-00 Rev XA 655-03737-00 Rev XA Mechanical part for a KLA 7700 M (Surfscan)

Mattson Aspen 3 ICP Aspen 3 ICP Dual Chamber Light Etcher

Nordson Matchbox Matchbox Match

RF Services Splitter 1k Splitter 1k Miscellaneous

Seren MC2 Controller MC2 Controller Miscellaneous

TEL Tokyo Electron P-12XL P-12XL prober

TERADYNE 950-560-00 REV A 950-560-00 REV A PC BOARD FOR TERADYNE J971 SP

ZEISS AXIOTRON AXIOTRON Inspection Micro Scope

LAM RAINBOW 4420 2087 ETCH 8"

Advantest BGR-016796 BGR-016796 ADVANTEST T5335P PC Board

AE (Advanced Energy) MDX-5K MDX-5K Generator

Applied Materials CENTURA DPS G5 MESA CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch

Applied Materials ® 079-018-05 079-018-05 P5000 Mk II Functional description Practice exercises

Canon BY8-6168-4E0 BY8-6168-4E0 Canon FPA3000 EX3 Command Guide - operational manual

CVC 31-313216-8171 31-313216-8171 CONNEXION INSTRUCTION MANUAL

Edwards iQDP40 iQDP40 Dry Mechanical Pump

ENI OEM-650A OEM-650A Generator

Furukawa UVW-102M UVW-102M FURUKAWA UV-102 SEMI AUTO UV CURE SYSTEM

KLA 750-653120-00C0 750-653120-00C0 Power Line Conditioner / Transformer for KLA 2122

KLA-Tencor 5xxx Spare Part 5xxx Spare Part Lambda Electronics LFS-47-48 REGULATED POWER SUPPLY

MATTSON HELIOS HELIOS RTP

NORDSON CW2 CW2 RF GENERATOR

RF Services Splitter 1k Splitter 1k Miscellaneous

Seren MC2 Controller MC2 Controller Miscellaneous

TEL Tokyo Electron Telius Telius Dry Etcher

Teradyne 880-751-10 880-751-10 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 61720 9521

ZEISS AXIOTRON AXIOTRON Inspection Micro Scope

LAM RAINBOW 4420 3650 ETCH 8"

Advantest BGR-021096 BGR-021096 ADVANTEST T5335P PC Board

AE (Advanced Energy) MDX-L Diagnostic Controller MDX-L Diagnostic Controller Miscellaneous

Applied Materials P5000 CVD P5000 CVD DxL

Applied Materials ® 0230-09258B 0230-09258B P5000 Mk II Mainframe and Support Equipment Manual

Canon BY8-4615-0E0 BY8-4615-0E0 Canon FPA3000 EX3 Maintenance Guide

CVC GTC-036 GTC-036 TERMOCOUPLE GAUGE TUBE

EDWARDS QMB500 QMB500 Vacuum Pump

ENI OEM-650A XL OEM-650A XL Generator

Future Fab Future Fab Solvent Hood Future Fab Solvent Hood Fume Hood Workstation

KLA 1007 1007 Chuck, prober, 6" gold chuck assembly

KLA-Tencor 750-404260 for KLA 5XXX 750-404260 for KLA 5XXX BIT 3 COMPUTER COP for 5xxx Spare Part

Mazzali Climatest C320G5 Climatest C320G5 Temperature and humidity testing chamber

NORDSON DSS CW2 V2 DSS CW2 V2 RF GENERATOR

RF Services RFS 500/700 RFS 500/700 RF Match

Seren MC2 Controller MC2 Controller Miscellaneous

TEL Tokyo Electron Telius SP-Vesta Telius SP-Vesta Dry Etcher

Teradyne 950-421-01 950-421-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 72019 9517

ZEISS AXIOTRON AXIOTRON High Perfomance Micro Scope

LAM RAINBOW 4420 20078 ETCH 8"

Advantest BGR-020765 BGR-020765 ADVANTEST T5335P PC Board

AE (Advanced Energy) MDX-L Diagnostic Controller MDX-L Diagnostic Controller Miscellaneous

Applied Materials CENTURA DPS G5 MESA CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch

Applied Materials ® 0230-00103 0230-00103 Precision Etch 8300 Corrective Maintenance Rev 3

Canon FPA-5000 ES3 FPA-5000 ES3 Spare Parts

CVD Equipment Corporation Easy Tube 3000 Easy Tube 3000 Growth Equipment

EDWARDS IPX100A IPX100A Vacuum Pump

ENI OEM-650A XL OEM-650A XL Generator

GACHOT DN 15-10 DN 15-10 STAINLSS STEEL BALL VALVE

KLA 715-023506-00 715-023506-00 Complete lead screw and stepping motor for KLA 2xx reticle inspection system

KLA-TENCOR 7700M (Spares) 7700M (Spares) Robot Arm, for up to 8 inch wafers, for KLA 7700 M

Mazzali Climatest C320G5 Climatest C320G5 Temperature and humidity testing chamber

Norgren M/50/EAN/5V M/50/EAN/5V electronic switch

RFPP LF-5 LF-5 RF Generator

Seren MC2 Controller MC2 Controller Miscellaneous

TEL Tokyo Electron Trias Ti/TiN Trias Ti/TiN TiN CVD

TERADYNE 950-569-03 REV A 950-569-03 REV A PC BOARD FOR TERADYNE J971 SP

Zeiss Stage part Stage part moveable x,y stage part

LAM RAINBOW 4420 20169 ETCH 8"

Advantest BGR-017418 BGR-017418 ADVANTEST T5335P PC Board HV PPS

AE (Advanced Energy) MDX-L Diagnostic Controller MDX-L Diagnostic Controller Miscellaneous

Applied Materials P5000 Mark-II CVD P5000 Mark-II CVD TEOS

Applied Materials ® 0230-20005 0230-20005 Endura Operations and Programming Training Course Student Workbook

Canon BY8-6168-1E0 BY8-6168-1E0 Canon FPA3000 EX3 Operation Guide - operational manual

CYBER OPTICS CYBERSCAN C212/110 CYBERSCAN C212/110 Laser Measure

EDWARDS IPX100 IPX100 Vacuum Pump

ENI OEM-650A XL OEM-650A XL Generator

Gaertner L115C-8 L115C-8 Ellipsometer, cassette to cassette

KLA 655-6616141-00 655-6616141-00 Wafer stage, kla 21xx

KLA-Tencor 073-401-320 for a kla 5xxx 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system

MDC (Materials Development Corp.) DUO CHUCK CSM16 DUO CHUCK CSM16 CV Measurement system

NOVA NOVASCAN 3090 P/N 390-10000-11 NOVASCAN 3090 P/N 390-10000-11 CMP metrology system

RFPP AM-10 AM-10 Match

Seren MC2 Controller MC2 Controller Miscellaneous

TEL Tokyo Electron Trias Ti/TiN Trias Ti/TiN TiN CVD

Teradyne 950-574-01 REV A 950-574-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 71620 8541 Z18xx

ZENITH ZPS-400 ZPS-400 Multiple voltage power supply

LAM RAINBOW 4420 3380 ETCH 8"

Advantest T5335P (Spares) T5335P (Spares) Spare Boards from test system (See attached list for details)

AE (Advanced Energy) Mercury 10013 Mercury 10013 Match

Applied Materials Verity 2 Verity 2 CD MEASUREMENT SEM

Applied Materials ® 0021-35163 Rev A 0021-35163 Rev A Gold-plated RTP Reflector Plate, 200 MM, chamber bottom

Canon BY8-6168-2E0 BY8-6168-2E0 Canon FPA3000 EX3 Parameter Guide 1 - operational manual

Cymer 01-13500-07 01-13500-07 Paddle for Cymer 4300 laser

EDWARDS IH1800 IH1800 Vacuum Pump

ENI OEM-650A XL OEM-650A XL Generator

GAIN G50H13P G50H13P RF GENERATOR

KLA Surfscan AIT 3 Surfscan AIT 3 Wafer particle Inspection

KLA-Tencor POWER SUPPLY LAMBDA

Mechatronic mWS 300t 4-stations mWS 300t 4-stations Wafer sorter with 4 load ports

Nova NovaScan 3090 Next SA NovaScan 3090 Next SA Optical CD Measurement

RFPP AM-10 AM-10 Match

Seren MCRS MCRS Match

TEL Tokyo Electron UNITY2e-855DD UNITY2e-855DD Dry Etcher

Teradyne 950-568-00 950-568-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 73419 9710 TW568 REV A

LAM RAINBOW 4520 - ETCH 8"

Advantest BGK-017719 BGK-017719 ADVANTEST T5335P PC Board

AE (Advanced Energy) Mercury 10013 Mercury 10013 Match

Applied Materials P5000 Mark-II CVD P5000 Mark-II CVD TEOS

Applied Materials ® 0230-09258 B 0230-09258 B P5000 Mk II Mainfame support and equipment manual cleanroom July 1994

Canon BY8-6168-3E0 BY8-6168-3E0 Canon FPA3000 EX3 Parameter Guide 2 - operational manual

Cymer XLA 160+/165 XLA 160+/165 193 nm excimer laser

EDWARDS IH1000 IH1000 Vacuum Pump

ENI OEM-650A XL OEM-650A XL Generator

GAIN M50H13P M50H13P RF GENERATOR

KLA 740-401-320 740-401-320 P-N 073-401-320 AIRLOCK

KLA-Tencor 851391-101 851391-101 LH RESEARCH

Mechatronic mWS200/300t mWS200/300t Wafer sorter with 4 load ports

Nova NovaScan 3090 Next SA NovaScan 3090 Next SA Optical CD Measurement

RFPP AM-10 AM-10 Match

Seren PSRS PSRS Generator

TEL Tokyo Electron Unity2e-855II Unity2e-855II Dry Etcher

Teradyne 950-566-01 950-566-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60520 9448

LAM RAINBOW 4520i - ETCH 8"

Advantest BGK-011702 BGK-011702 ADVANTEST T5335P PC Board

AE (Advanced Energy) Mercury 10013 Mercury 10013 Match

Applied Materials Centura AP AdvantEdge G5 Metal Centura AP AdvantEdge G5 Metal Metal Etch

Applied Materials ® 026-105-03 C 026-105-03 C P5000 Mk II Functional description training manual

Canon BY8-6168-5E0 BY8-6168-5E0 Canon FPA3000 EX3 Reticle Guide2 - operational manual

Cymer 4300 4300 Maintenance Tool kit and spare parts

EDWARDS IGX100L IGX100L Vacuum Pump

ENI OEM-650A XL OEM-650A XL Generator

Gasonics DTC2280 DTC2280 ISA IDE control board floppy HDD

KLA UV1250SE UV1250SE Thin film meansurement system

KLA-TENCOR 7700M (Spares) 7700M (Spares) Adjustable Opto Mechanical assembly for KLA 7700 Surfscan

MECS UTC 820Z UTC 820Z atmospheric wafer handling robot( Hitachi CD-SEM)

Nova NovaScan 3090 Next SA NovaScan 3090 Next SA Optical CD Measurement

RFPP AM-10 AM-10 Match

Seren R2001 R2001 Generator

TEL TOKYO ELECTRON P12XLM P12XLM Prober

Teradyne 950-569-03 950-569-03 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314

LAM RAINBOW 4720 - ETCH 8"

ADVANTEST T5375 T5375 Automated Test Equipment

AE (Advanced Energy) Mercury 10013 Mercury 10013 Match

Applied Materials Opal 7830i Enhanced Opal 7830i Enhanced CD-SEM

Applied Materials ® 079-109-0D 079-109-0D P5000 Mk II Advanced calibration proceedures manual Jan 1995

Canon FPA 5500 iZ FPA 5500 iZ i-line stepper

DAGE Series 5000 Series 5000 Assembly Test System, Incomplete - Call for Details

EDWARDS IGX1000N IGX1000N Vacuum Pump

ENI OEM-6B OEM-6B Generator

Gasonics IRIDIA 4800 DL IRIDIA 4800 DL Stripper / Asher

KLA 7700 7700 Surfscan wafer particle detection system

KLA-TENCOR 2122 2122 Brightfield Wafer Defect Inspection System

MECS OF 250 OF 250 wafer pre-aligner(Hitachi CDSEM 8820/8840)

Nova NovaScan 3090 Next SA NovaScan 3090 Next SA Optical CD Measurement

RFPP AM-10 AM-10 Match

Seren R3001 R3001 Generator

TEL Tokyo Electron Unity2e-855II Unity2e-855II Dry Etcher

Teradyne 950-558-00 REV A 950-558-00 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 64620 9509

LAM TCP9400SE 4642 ETCH 8"

ADVANTEST T5771ES T5771ES Automated Test Equipment for laboratory or office use

AE (Advanced Energy) Mercury d2 Mercury d2 Match

Applied Materials P5000 Mark-II CVD P5000 Mark-II CVD DxL

Applied Materials ® 079-102-0D 079-102-0D P5000 Mk II Advanced preventive and corrective maintenance Apr 1996

Canon spares spares Wafer Cassette holder for FPA 3000 SERIES iw i4 i5 ex3 ex4 ex5 ex6

DAGE XD6500 XD6500 X-Ray Inspection Tool

EDWARDS EPXTWIN180L EPXTWIN180L Vacuum Pump

ENI OEM-6B OEM-6B Generator

Gasonics IRIDIA 4800 DL IRIDIA 4800 DL Stripper / Asher

KLA Ceramic table Ceramic table 8" Ceramic Table & Misc Parts

KLA-Tencor Surfscan 4500 Surfscan 4500 Wafer Particle Inspection System

MECS UTC 801P UTC 801P atmospheric wafer handling robot( WJ-1000

Nova V2600 V2600 Integrated CMP Endpoint / Film Measurement

RFPP AM-10 AM-10 Match

Seren R601 R601 Generator

TEL Tokyo Electron A303I A303I Vertical furnace

Teradyne 950-560-00 950-560-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 93610 9918

LAM TCP9400SE 4547 ETCH 8"

Advantest Hifix for PQFP80 (14 x 20) Hifix for PQFP80 (14 x 20) Hi-fix for Advantest T5371 package type PQFP80 (14 x 20)

AE (Advanced Energy) Mini-Panel Mini-Panel Miscellaneous

Applied Materials DPS SILVIA DPS SILVIA Silvia 2ch / Axiom 1ch

Applied Materials ® 026-110-0B.1 026-110-0B.1 P5000 Mk II Functional description , practice exercises and basic maintenance proceedures

Canon FPA 3000 series FPA 3000 series Cassette holder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

DAGE 2400-WP-100 2400-WP-100 100g Wire Pull Load Cell

EDWARDS EPX500LE EPX500LE Vacuum Pump

ENI OEM-6L OEM-6L Generator

Gasonics IRIDIA 4800 DL IRIDIA 4800 DL Stripper / Asher

KLA 7700M (SPARES) 7700M (SPARES) Lens PCB 042763

KLA-TENCOR 720-02847-000 720-02847-000 MCA Module for KLA 81xx CD SEM

MECS UTX 1100 UTX 1100 atmospheric wafer handling robot(ASM eagle-10)

NOVA T600 MMSR T600 MMSR Ellipsometer for CD and thin film measurements

RFPP AM-10 AM-10 Match

Seren Seren Match Seren Match Match

TEL Tokyo Electron Unity2e-855II Unity2e-855II Dry Etcher

Teradyne 950-562-00 950-562-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 75019 9226

LAM TCP9400SE 40108 ETCH 8"

Advantest TR6846 TR6846 Digital Multimeter

AE (Advanced Energy) Mini-Panel Mini-Panel Miscellaneous

Applied Materials 9200 (Spares for) 9200 (Spares for) IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT

ASAHI TOOLS K-2 K-2 PRECISION WRENCH

Canon Fuji Electric PE-LA 5 D Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

DAGE 2400PC-BS500G 2400PC-BS500G 500g Ball Shear Load Cell for Dage 2400

EDWARDS EPX180NE EPX180NE Vacuum Pump

ENI OEM-6M OEM-6M Generator

GASONICS Gasonics IPC Match Gasonics IPC Match Match

KLA 7700m 7700m robot Dist. PCB

KLA-TENCOR 720-02964-000B 720-02964-000B PICOAMP II for KLA 81xx CD SEM

MECS UTX 1200 UTX 1200 atmospheric wafer handling robot(ASM eagle-10)

NOVA MEASURING Novascan Novascan Novascan CMP Endpoint measurement unit

RFPP AM-10 AM-10 Match

SEREN R301MKⅡ R301MKⅡ RF GENERATOR

TEL Tokyo Electron A303I A303I Vertical furnace

Teradyne 950-681-00 950-681-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 74920 9319

LAM TCP9400SE - ETCH 8"

Advantest Versatest V4000 Versatest V4000 Automated Test Equipment for laboratory or office use

AE (Advanced Energy) Navigator Navigator Match

Applied Materials P5000 Mark-II CVD P5000 Mark-II CVD TEOS

ASAHI TOOLS K-2 K-2 PRECISION WRENCH

Canon Fuji Electric PE-LA 5 D Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

DAGE 2400PC-WP10KG 2400PC-WP10KG 10 Kg Wire Pull Load Cell for Dage 2400

EDWARDS EPX180LE EPX180LE Vacuum Pump

ENI PL2-HF PL2-HF Generator

GASONICS Gasonics IPC Match Gasonics IPC Match Match

KLA 7700m 7700m Keyboard Assy

KLA-TENCOR 195430 rev B 195430 rev B Detector Assembly for a KLA 7700M Surfscan

MECS(Asyst) UTW-FS5500S UTW-FS5500S atmospheric wafer handling robot

NOVA MEASURING Novascan Novascan Novascan CMP Endpoint measurement unit

RFPP AM-10 AM-10 Match

SET SET 1500 SET 1500 Match

TEL Tokyo Electron Unity2e-855II IEM Unity2e-855II IEM Dry Etcher

Teradyne 950-556-01 REV A 950-556-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 80419 94222

LAM TCP9400SE - ETCH 8"

Advantest V3300 V3300 Memory Tester

AE (Advanced Energy) Navigator 10013-L80 Navigator 10013-L80 Match

Applied Materials DPS2 DPS2 Poly Etcher

Asco SC8210G004 SC8210G004 Solenoid Valve, 1 In, Orifice 1 In, Brass

Canon Fuji Electric PE-LA 5 D Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

Dage 4000 4000 Bond Pull tester

EDWARDS EPX180L EPX180L Vacuum Pump

ENI PL2-HF PL2-HF Generator

GASONICS Gasonics Match Gasonics Match Match

KLA 7700m 7700m 253537 Rev A PCB Microscope Dist

KLA-Tencor Surfscan AIT Surfscan AIT Patterned Wafer Inspection

MEI VQ-20-ASA-H-SM VQ-20-ASA-H-SM Variable Throttle Valve

Novascan 945-00666-00 945-00666-00 HALOGEN LAMP

RFPP AM-10 AM-10 Match

SET SET 1500 SET 1500 Match

TEL Tokyo Electron A303I A303I Vertical furnace

Teradyne 950-217-04 950-217-04 Teradyne J971 PCB,

LAM TCP9400SE - ETCH 8"

Advantest V4000 V4000 Automated Test Equipment

AE (Advanced Energy) Navigator Match Navigator Match Match

Applied Materials Centura AP AdvantEdge G5 Poly Centura AP AdvantEdge G5 Poly Polysilicon Etch

Asco SC8210G93 SC8210G93 Solenoid Valve,

Canon BH8-1768-02 BH8-1768-02 Library Sub Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

DAGE PC2400 PC2400 Bond & Wire Tester Operators Guide

EDWARDS EPX 500NE EPX 500NE Vacuum Pump

ENI PL2-HF PL2-HF Generator

GAZEL MG.FE 14 MG.FE 14 SUPERCLEAN

KLA 710-658036-20 710-658036-20 Alignment Processor board REV C3

KLA-Tencor 251739 251739 CH3 PMT OPTICS ASSY AIT2

MELLES GRIOT 05-LHP-121 05-LHP-121 HE NE Laser (Unused)

NOVELLUS GAMMA 2100 GAMMA 2100 asher / PR STRIP

RFPP AM-10 AM-10 Match

SET SET 1500 SET 1500 Match

TEL Tokyo Electron Unity2e-855PP DP Unity2e-855PP DP Dry Etcher

TERADYNE 517-400-01 517-400-01 APMU Board for J750 tester

LAM TCP9400SE - ETCH 8"

Advantest V4000 V4000 Automated Test Equipment

AE (Advanced Energy) Navigator Match Navigator Match Match

Applied Materials P5000 Mark-II CVD+Etch P5000 Mark-II CVD+Etch Sputter

ASE AMV-1000-27M-ASMGK-L AMV-1000-27M-ASMGK-L RF GENERATOR

Canon BH8-1818-01 BH8-1818-01 LD/PD board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

Dage 4000-HK-5-2 4000-HK-5-2 160-OT12-PTP-02 PULL TEST hook

EDWARDS ETM63X PLASMA ETM63X PLASMA HEATING CONTROL UNIT

ENI PL2-HF PL2-HF Generator

GAZEL MG.FC 14 MG.FC 14 GSI

KLA 710-658041-20 710-658041-20 Alignment PRocessor Phase 3 Board REV E0

KLA-TENCOR 7700M (Spares) 7700M (Spares) AT GPIB IEE 488.2 Interface PCB for a KLA 7700 M

Metryx Mentor OC23 Mentor OC23 Mass Measurement

NOVELLUS MB2 MB2 Metal PVD System

RFPP AM-10 Controller AM-10 Controller Miscellaneous

SEZ Chemical Storage Cabinet, 2ea Available

TEL Tokyo Electron P12XLM P12XLM Prober

Teradyne J994 J994 Memory Tester

LAM TCP9400SE - ETCH 8"

Advantest V5000 V5000 Automated Test equipment

AE (Advanced Energy) Ovation 2060 Ovation 2060 Generator

Applied Materials DPS2 DPS2 Poly Mesa 3ch / Axiom 1ch

ASE AMV-1000-27M-ASMGK-R AMV-1000-27M-ASMGK-R RF GENERATOR

CANON BG4-6746 BH8-1822-01 BG8-2683 BG4-6746 BH8-1822-01 BG8-2683 WF/RC IF PCB FOR CANON I-LINE STEPPERS

DAGE BT23PC BT23PC Die Shear Tester with LC200 Die Shear Load Cell, 2ea Available

Edwards iXH 1820H iXH 1820H Vacuum Pump

ENI PL2-HF PL2-HF Generator

GAZEL MG.FE 38 MG.FE 38 SUPERCLEAN

KLA 710-658046-20 710-658046-20 PRocessor Board REV E0

KLA-TENCOR 740-210171-00 740-210171-00 Mask Holder for 5 inch x 0.090 thickness masks, with compensation glass, KLA 2XX

Metryx Mentor DF3 Mentor DF3 Mass Measurement

NOVELLUS MB2 MB2 Metal PVD System

RFPP AM-20 AM-20 Match

SFI Endeavor AT Endeavor AT PVD cluster tool

TEL Tokyo Electron Unity2e-855SS Unity2e-855SS Dry Etcher

Teradyne 405-155-00 405-155-00 Power Supply 150 Amp, 230 VAC

LAM TCP9600SE 4294 ETCH 8"

Advantest BGR-020773 BGR-020773 ADVANTEST T5335P PC Board

AE (Advanced Energy) Ovation 2760 Ovation 2760 Generator

Applied Materials Centura AP ASP II - Chamber Only Centura AP ASP II - Chamber Only Metal Etch

ASE AMV-1000-SMT AMV-1000-SMT RF GENERATOR

CANON ROCKY KS-112 ROCKY KS-112 SCSI EXT PCB FOR CANON FPA SERIES I-LINE STEPPERS

DAGE BT24 BT24 Ball/Bump Shear Tester

Edwards iGX100L iGX100L Vacuum Pump

ENI PL2-HF PL2-HF Generator

GAZEL EMC 38 AB EMC 38 AB GSI

KLA 710-658177-20 710-658177-20 Interpolator phase 3 Board REV F1

KLA-TENCOR 740-210171-00 Rev C OHR 740-210171-00 Rev C OHR Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system

Metryx Mentor OC23 Mentor OC23 Mass Measurement

NOVELLUS MB2 MB2 Metal PVD System

RFPP AM-20 AM-20 Match

SFI Endeavor AT Endeavor AT PVD cluster tool

TEL TOKYO ELECTRON Precio Nano Precio Nano FULLY AUTOMATED PROBER

TERRA UNIVERSAL 8 Tank 8 Tank Stainless Steel Sink with 8ea 14" X 14" X 12" (d) Tanks

LAM TCP9600SE 4041 ETCH 8"

Advantest BGR-020853 BGR-020853 ADVANTEST T5335P PC Board

AE (Advanced Energy) Ovation 35162 Ovation 35162 Generator

Applied Materials DPS2 AE DPS2 AE Poly Etcher

ASM HUMMING BIRD HUMMING BIRD STUD BUMP

Canon BG9-4757, BH8--1069-01 BG9-4757, BH8--1069-01 AF DRV board for Canon FPA 1550 series

DAGE BT23-PC BT23-PC Die Shear Tester with LC200 Die Shear Load Cell

Edwards STP-XH2603P STP-XH2603P Turbo Pump

ENI POD Interface Box POD Interface Box Miscellaneous

GAZEL ECF 14 ECF 14 SUPERCLEAN

KLA 710-658172-20 710-658172-20 Y Interpolator C,PH3 Board REV J1

KLA-TENCOR 253537 Rev A 253537 Rev A Microscope Distribution PCB, for Surfscan 7600 and 7700 series

Micro Automation 2066 2066 Mask and wafer cleaner

NOVELLUS CONCEPT 3 GAMMA 2130 CONCEPT 3 GAMMA 2130

RFPP AM-30 Controller AM-30 Controller Miscellaneous

SGL Carbon / Ringsdorff 3PC1396/2 3PC1396/2 Heater 18 inch Graphite 3PC1396 2

TEL Tokyo Electron Unity2e-85DPA Unity2e-85DPA Dry Etcher

TERRA UNIVERSAL 1679-06-1 1679-06-1 SST Dessicator Cabinet , 2ea Available

LAM TCP9600SE - ETCH 8"

Advantest BGR-020816X02 BGR-020816X02 ADVANTEST T5335P PC Board

AE (Advanced Energy) Ovation 35162 Ovation 35162 Generator

Applied Materials Centura AP DPS AdvantEdge G2 Metal Centura AP DPS AdvantEdge G2 Metal Metal Etch

ASM AS899 (Spare Parts) AS899 (Spare Parts) Stock of Spare Parts for ASM Die Sorters / Pick and place Die Bonders

Canon BG9-4760, BG83111, BH8-1071-02 BG9-4760, BG83111, BH8-1071-02 SH/RH board for Canon FPA 1550

DAGE 2400PC 2400PC Wire pull tester

Edwards STP-1003P STP-1003P Turbo Pump

ENI POD Interface Box POD Interface Box Miscellaneous

GAZEL ECM 14 ECM 14 SUPERCLEAN-GSI

KLA 710-655651-20 710-655651-20 Cornerturn 3 PC board REV C0

KLA-Tencor Corp. 720-05888-000 720-05888-000 Electron gun controller for KLA 8100

Micro Vu Vertex 420 Vertex 420 Precision coordinate measurement system

NOVELLUS CONCEPT 3 GAMMA 2130 CONCEPT 3 GAMMA 2130

RFPP AM-5 AM-5 Match

Shibasoku S230 S230 Automated test equipment

TEL Tokyo Electron ACT 8 ACT 8 Photoresist coater and developer track with 3C and 3D

TERRA UNIVERSAL Desiccator Box, 12"x11"x12"

LAM TCP9600SE - ETCH 8"

Advantest BGR-020774 rev x2 BGR-020774 rev x2 ADVANTEST T5335P PC Board

AE (Advanced Energy) Ovation 35162 Ovation 35162 Generator

Applied Materials DPS2 AE DPS2 AE Poly Mesa 3ch / Axiom 1ch

ASM XP8 XP8 Dual Chamber PECVD system for Oxide and Nitride

Canon BG9-4761, BG8-3112, BH8-1071-01 BG9-4761, BG8-3112, BH8-1071-01 SH/RH board FOR CANON FPA SERIES STEPPERS

Dage 4000 4000 DAGE 4000 SHEAR TESTER

Edwards Flange Flange Edwards pump flange 5 1/8" OD 4" ID

ENI POD Interface Box POD Interface Box Miscellaneous

GAZEL ECF 12 ECF 12 SUPERCLEAN

KLA 710-029946-00 Rev:XE 710-029946-00 Rev:XE Corrector-Formatter PCB M2A only

KNF Neuberger 057359 057359 Diphagrahm service kit for N40.3FT pump

MICROAUTOMATION M-1100 M-1100 Wafer Dicing Saw, for up to 6" Wafers

NOVELLUS CONCEPT 3 SPEED CONCEPT 3 SPEED NEXT

RFPP AM-5 AM-5 Match

Shibuya EH162 EH162 TestHandler

TEL Tokyo Electron Unity2E-85IEM Unity2E-85IEM Dry Etcher

TERRA UNIVERSAL Dessicator Box

LAM TCP9600SE - ETCH 8"

Advantest BGR-020814 BGR-020814 ADVANTEST T5335P PC Board

AE (Advanced Energy) Paramount 3013 Paramount 3013 Generator

Applied Materials P5000 Mark-II CVD+PVD P5000 Mark-II CVD+PVD TEOS 2Ch, SPUTTER 2Ch

ASM A600 LPCVD-OXIDE A600 LPCVD-OXIDE Vertical Furnace

Canon BH8-1073-01, BG9-4763, BG8-3114 BH8-1073-01, BG9-4763, BG8-3114 PA SENSER board

Dage 4000 4000 OPS DAGE 4000 SHEAR TESTER w/computer

Edwards STP-A1303C STP-A1303C TURBOMOLECULAR PUMP

ENI POD Interface Box POD Interface Box Miscellaneous

GAZEL ECM 12 ECM 12 GSI

KLA 710-659412-00 710-659412-00 Mass Memory PCB REV C0

KNF NEUBERGER N 840.3 FT.18 N 840.3 FT.18 DIAPHRAGM VACUUM PUMP LABOPORT D-79112

Microcontrol MWE Plus MWE Plus UV Wafer Eraser with cassette loading

NOVELLUS CONCEPT 3 SPEED CONCEPT 3 SPEED NEXT

RFPP AM-5 Controller AM-5 Controller Miscellaneous

Shibuya EH520 EH520 TestHandler

TEL Tokyo Electron I/F Block (Mark8 - i11D) I/F Block (Mark8 - i11D) Track interface block for Nikon i11D

TES CHALLENGER_ST CHALLENGER_ST Plasma-Enhanced CVD system

LAM TCP9600SE - ETCH 8"

Advantest BGR-020771 BGR-020771 ADVANTEST T5335P PC Board

AE (Advanced Energy) Paramount 3013 Paramount 3013 Generator

Applied Materials DPS2 AE DPS2 AE Poly Mesa 3ch / Axiom 1ch

ASM Eagle XP EmerALD Eagle XP EmerALD ALD (Atomic Layer Deposition)

Canon BG9-4764, BH8-1074-01 BG9-4764, BH8-1074-01 PA CCD board for Canon FPA series steppers

DAIHEN AGA-50B2 AGA-50B2 RF GENERATOR

EDWARDS A529-80-905 A529-80-905 QMKII dry pumping system

ENI POD Interface Box POD Interface Box Miscellaneous

GCA TROPEL 9000 9000 Wafer Flatness Analyzer

KLA 7700m 7700m Pittmann Motor 94337528 Microscope driver

KNIEL System CPD 5.12/6.3 CPD 5.12/6.3 Power Supply, 321-019-02.00

Micromanipulator Probe Station 1 Probe Station 1 Manual Prober with hot and cold chuck

NOVELLUS CONCEPT 3 SPEED CONCEPT 3 SPEED NEXT

RFPP AMN AMN Match

Shimaden SR91-8P-90-1N0 SR91-8P-90-1N0 Temperature Regulator

TEL TOKYO ELECTRON Trias Trias CVD

TESCOM 150 150 REGULATORS PRESSURE

LAM TCP9600SE - ETCH 8"

Advantest BGR-020509 BGR-020509 ADVANTEST T5335P PC Board

AE (Advanced Energy) PDW 2200 PDW 2200 Generator

Applied Materials DPS2 AE DPS2 AE Poly Mesa 3ch / Axiom 1ch

ASM Eagle-12 Rapidfire Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)

Canon BG9-4762, BG8-3113, BH8-1072-01 BG9-4762, BG8-3113, BH8-1072-01 PA STAGE board for Canon 1550MarkIV series

DAIHEN AMN-30F-V AMN-30F-V RF GENERATOR

Edwards Speedivalve Speedivalve SPEEDI VALVE

ENI OEM-2000-01M1 OEM-2000-01M1 RF GENERATOR

GEMINI GEMINI III E GEMINI III E EPI Reactor Batch

KLA 710-658232-20 710-658232-20 Memory Controller Phase 3 PC board REV H1

KOGANEI ORCA 16X120 ORCA 16X120 Slit type rodless cylinder

MICRON 256 MB 256 MB SYNCH

NOVELLUS CONCEPT 3 INOVA CONCEPT 3 INOVA NExT

RFPP AMNPS-2A Controller AMNPS-2A Controller Miscellaneous

Shin-Etsu MW 200 MW 200 Wafer Transportation Box 8"

TEL Tokyo Electron Unity2e-85TPATC Unity2e-85TPATC Dry Etcher

Texwipe 810-39234 810-39234 BRUSH, ELONGATED CORE EBARA (TEXWIPE)

LAM TCP9600SE 4646 ETCH 8"

Advantest BGR-020772 BGR-020772 ADVANTEST T5335P PC Board

AE (Advanced Energy) PDW 600 PDW 600 Generator

Applied Materials P5000 Mark-II CVD+Etch P5000 Mark-II CVD+Etch TEOS 2Ch, Sputter 2Ch

ASM A412 A412 Dual Tube CVD Furnace, Tube 1 Nitride , Tube 2 As + Ge doped polyslicon

Canon BG9-4758, BG8-3109, BH8-1070-02 BG9-4758, BG8-3109, BH8-1070-02 SC/RC board for Canon FPA series steppers

DAIHEN AMN-50B2A AMN-50B2A RF GENERATOR

Edwards 040020030 040020030 Cable, motor drive, 5M seiko

ENI POD Interface Box POD Interface Box Miscellaneous

Gencal GenCal Power Meter GenCal Power Meter Miscellaneous

KLA 7700m 7700m 181137 Drive Assy PCB

KOGANEI SLIM SLIM AIR CYLINDER

MICRONICS JAPAN CO. MP-10 MP-10 Manual Probe Station with B&L StereoZoom 7 Microscope & 2ea Micropositioners

NOVELLUS VECTOR VECTOR PECVD Nitride

RFPP AMNPS-2A Controller AMNPS-2A Controller Miscellaneous

Shindengen SDC05150G SDC05150G 5V 150 A Regulated power supply, Advantest T5335P

TEL Tokyo Electron UW300Z UW300Z Wet

Thamway A161 A161 Generator

LAM 2300 EXELAN CHAMBER 1001862-04-41-06530 ETCH 8"

Advantest BGR-017577 BGR-017577 ADVANTEST T5335P PC Board BGR-017575

AE (Advanced Energy) PDW 600 PDW 600 Generator

Applied Materials DPS2 AE DPS2 AE Poly Mesa 3ch / Axiom 1ch

ASM AS899 (Spare Parts) AS899 (Spare Parts) Spare Parts for Pick and Place Die Bonder

Canon BG9-4759, BG8-3110, BH8-1070-02 BG9-4759, BG8-3110, BH8-1070-02 SC/RC board for Canon fpa

DAIHEN AMN-50F AMN-50F RF GENERATOR

EDWARDS STP-1003C STP-1003C Turbomolecular pump + controller + cable set

ENI POD Interface Box POD Interface Box Miscellaneous

General Electric Dummy Load Dummy Load Miscellaneous

KLA 710-658086-20 710-658086-20 PC Board, REV E0

KOGANEI PDA S PDA S AIR CYLINDER

MICROSPEED PD-250C PD-250C PC-TRAC mouse

NOVELLUS 676 676 CMP System Polisher

RFPP AMNPS-2A Controller AMNPS-2A Controller Miscellaneous

SHINKAWA COF 300 COF 300 Flip Chip Bonder

TEL Tokyo Electron UW8000 UW8000 Wet

Thamway A161 A161 Generator

LAM 2300 EXELAN FLEX CHAMBER - ETCH 12"

ADVANTEST T5371 T5371 Memory Tester

AE (Advanced Energy) PDW Controller PDW Controller Miscellaneous

Applied Materials DPS2 AE DPS2 AE Poly Mesa 3ch / Axiom 1ch

ASM MS896 MS896 LED sorter

Canon BG4-6365 BG4-6365 PPC Pellicle Particle Checker Unit for FPA3000 series stepper iw i4 i5 ex3 ex4 ex5 ex6

DAIHEN ATM-30A ATM-30A RF GENERATOR

Edwards iQDP80 / QMB1200 iQDP80 / QMB1200 Dry Vacuum Pump combo

ENI POD Interface Box POD Interface Box Miscellaneous

Genesys DC Power Supplies

KLA 7700m 7700m 174203 Rev D PCB Flat finder pwd Driver

KOGANEI KA CMA KA CMA AIR CYLINDER

Milara Milara MTW-1 Milara MTW-1 Milara MiniTouch MTW-1 Wafer Screen Printer

Novellus Concept Three Altus Concept Three Altus WCVD (Chemical Vapor Deposition)

RFPP AMNPS-2A Controller AMNPS-2A Controller Miscellaneous

SHINKAWA UTC-2000 SUPER UTC-2000 SUPER Wire Bonder

TEL Tokyo Electron UW8000 UW8000 Wet

THERMAL GYE-12000 GYE-12000 Evaporator System

LAM 2300 EXELAN FLEX CHAMBER - ETCH 12"

Advantest BGR-019486 BGR-019486 ADVANTEST T5335P PC Board

AE (Advanced Energy) PDW Controller PDW Controller Miscellaneous

Applied Materials DPS2 AE DPS2 AE MESA (Dry Etch)

ASM WS896 WS896 LED sorter

Canon FPA 3000 series extended reticle library FPA 3000 series extended reticle library extended reticle library

DAIHEN ATP-15B ATP-15B RF GENERATOR

Edwards iQDP80 / QMB1200 iQDP80 / QMB1200 Dry Vacuum Pump combo

ENI POD Interface Box POD Interface Box Miscellaneous

Genesys DC Power Supplies

KLA 6400 6220 6400 6220 Set of New OEM Cables FOR SURFSCAN MODELS 6200 AND 6400

KOGANEI TWDA TWDA AIR CYLINDER

Milara Milara MTW-1 Milara MTW-1 Milara MiniTouch MTW-1 Wafer Screen Printer

Novellus Concept Three Altus Concept Three Altus WCVD (Chemical Vapor Deposition)

RFPP AMNPS-2A Controller AMNPS-2A Controller Miscellaneous

SHINKAWA UTC-1000 SUPER UTC-1000 SUPER Wire Bonder

TEL Tokyo Electron P8XL P8XL Fully Automated Prober

Thermo Fisher ECO 1000 ECO 1000 FTIR System

LAM 2300 EXELAN FLEX CHAMBER - ETCH 12"

ADVANTEST T5371 T5371 Memory Tester

AE (Advanced Energy) PDW Controller PDW Controller Miscellaneous

Applied Materials P5000 WCVD P5000 WCVD WxL

ASM 2890224-21 2890224-21 Converter DTC

Canon FPA-5500 iZa FPA-5500 iZa i-Line Wide-Field Stepper

DAIHEN DGP-120A2 DGP-120A2 RF GENERATOR

Edwards iQDP80 / QMB1200 iQDP80 / QMB1200 Dry Vacuum Pump combo

ENI POD Interface Box POD Interface Box Miscellaneous

Genmark Various genmark robots and Edwards turbo pumps Various genmark robots and Edwards turbo pumps Mixed lot of Edwards Turbo pumps, Genmark Robots and controllers and a JEL robot

KLA 7700m (spares) 7700m (spares) p/n 252948 Rev B Motor Lamp Drive SFS 76

KOGANEI SLIM SLIM AIR CYLINDER

MILLIPORE WGGB06WR1 WGGB06WR1 WAFERGARD IN-LINE GAS FILTER

Novellus VECTOR VECTOR PECVD (Chemical Vapor Deposition)

RFPP AMNPS-2A Controller AMNPS-2A Controller Miscellaneous

SHINKAWA UTC-3000WE UTC-3000WE Wire Bonder

TEL Tokyo Electron Alpha-303i-H Alpha-303i-H Vertical Furnace, MTO

THERMONICS T-2500SEA T-2500SEA Precision Temperature Forcing System

LAM 2300 SELIS CHAMBER - ETCH 12"

Advantest T5585 T5585 Memory Tester

AE (Advanced Energy) PDX 2500 PDX 2500 Generator

Applied Materials DPS2 AE DPS2 AE MINOS (Dry Etch)

ASM 2506556-21 2506556-21 Processor DTC PCB

Canon BH8-2017-01 BH8-2017-01 IL3-CD-PCB for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

DAIHEN FGA-30A2 FGA-30A2 RF GENERATOR

Edwards QDP80 + QMB 250F QDP80 + QMB 250F Dry Vacuum Pump combo

ENI POD Interface Box POD Interface Box Miscellaneous

GENMARK S08R S08R Robot Controllers, PN 990010622, 2ea Available

KLA 7700m 7700m Front Panel PCB

KOGANEI JDAS32X5-165W JDAS32X5-165W AIR CYLINDER

MILLIPORE FLUOROGARD-PLUS CWFA01PLV FLUOROGARD-PLUS CWFA01PLV filter CARTRIDGE

Novellus VECTOR SOLA UV Cure VECTOR SOLA UV Cure PECVD (Chemical Vapor Deposition)

RFPP AMNPS-2A Controller AMNPS-2A Controller Miscellaneous

Shinmei Keiki 0-1 kgf/cm2 0-1 kgf/cm2 pressure gauge

TEL Tokyo Electron Alpha-303i-K Alpha-303i-K Vertical Furnace, DCS MTO

THERMONICS T-2427 T-2427 Temperatuire Forcing System with DUT Cooling Shroud, Needs Compressor Work

LAM 2300 Strip CHAMBER 23ST451136 ETCH 12"

Advantest BGR-020851 BGR-020851 ADVANTEST T5335P PC Board

AE (Advanced Energy) PDX 2500 PDX 2500 Generator

Applied Materials Endura II Chamber: CIP W PVD Endura II Chamber: CIP W PVD PVD (Physical Vapor Deposition)

ASM A400 HT A400 HT Vertical Furnace Oxide/Poly

Canon BH8-1980-01 BH8-1980-01 EASRCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

DAIHEN FGA-30C FGA-30C RF GENERATOR

Edwards iQDP 80 iQDP 80 Dry vacuum pump

ENI POD Interface Box POD Interface Box Miscellaneous

Genmark GB4/3L GB4/3L Atmospheric wafer handling robot with controller

KLA 7700m 7700m 181830 Rev D, National Instruments AT-GPIB/TNT

KOGANEI BDAS10X30 BDAS10X30

MILLIPORE CORP WGFG01HR1 WGFG01HR1 WAFERGARD F MINI IN-LINE GAS FILTER

Novellus Concept Three Speed Concept Three Speed HDP CVD (Chemical Vapor Deposition)

RFPP ICP20-P ICP20-P Generator

Shinwa Controls Co., Ltd. CH1-CP-D1 CH1-CP-D1 CH1-CP-D1

TEL Tokyo Electron Alpha-303i-K Alpha-303i-K Vertical Furnace, MTO

THK 280L 280L Linear Bearing and guide

LAM 2300 Strip CHAMBER 23ST45860 ETCH 12"

ADVANTEST T5585 T5585 Memory Tester

AE (Advanced Energy) PDX 2500 PDX 2500 Generator

Applied Materials P5000 WCVD P5000 WCVD WxL

ASM A400 A400 Vertical furnace, wet oxide process

Canon BH8-1979-01 BH8-1979-01 EASLCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

DAIHEN FGA-30C FGA-30C RF GENERATOR

Edwards QDP80 + QMB 250F QDP80 + QMB 250F Dry Vacuum Pump combo

ENI POD Interface Box POD Interface Box Miscellaneous

Genmark GB8-MT-80050102 GB8-MT-80050102 Single arm Atmospheric wafer handling robot

KLA 7700m 7700m EMO Switch

KOGANEI AME07-E2-PSL AME07-E2-PSL VACUUM EJECTOR

Milton Roy AA761-65S AA761-65S Flow rate pump

NOVELLUS TOP MATCH TOP MATCH RF GENERATOR

RFPP LF-5 LF-5 Generator

SHOWA 341 341 Laboratory Power Supply - 4 channel

TEL Tokyo Electron Formula Formula Vertical Furnace

THK LWHS15 LWHS15 LINEAR WAY WITH SINGLE BEARING

LAM 2300 Strip CHAMBER 23ST45677 ETCH 12"

Advantest T5585 T5585 Memory Tester

AE (Advanced Energy) PDX 2500 PDX 2500 Generator

Applied Materials DPS2 AE DPS2 AE MINOS (Dry Etch)

ASM A400 A400 Vertical furnace, wet oxide process

Canon FPA-6000 ES5 FPA-6000 ES5 248 nm (KrF) excimer exposure system

DAIHEN FRM-30A FRM-30A RF GENERATOR

Edwards iQDP 80 iQDP 80 Dry vacuum pump

ENI POD Interface Box POD Interface Box Miscellaneous

Genmark AVR series AVR series Cleanroom Vacuum Robot only

KLA 710-023236-00 Rev B1 710-023236-00 Rev B1 P3 Scan delay FIR Filter PCB

KOGANEI A200-4E1 A200-4E1 AIR VALVE

MINATO MM-6600 MM-6600 Wafer Mobility Tester with MECS UX-1000 Wafer Robot, 2ea Available

Novellus SABRE 3D SABRE 3D ECD (Electro Chemical Deposition)

RFPP LF-5 LF-5 Generator

SHOWA ELECTRONICS 511-16 511-16 REGULATED DC POWER SUPPLY

TEL Tokyo Electron Formula Formula Vertical Furnace

THK RSR 15 RSR 15 LINEAR WAY WITH 7 BEARINGS

LAM FLEX DS/EFEM 143332 ETCH 12"

Advantest BGR-017578 BGR-017578 ADVANTEST T5335P PC Board

AE (Advanced Energy) PDX 2500 PDX 2500 Generator

Applied Materials Endura II Front-End Metallization Endura II Front-End Metallization PVD (Physical Vapor Deposition)

ASM EAGLE 60 EAGLE 60 Gold Ball Bonder

Canon BG3-7842 BG3-7842 STG CD4 PCB, for Canon FPA5000 ES3 scanner

DAIHEN FRM-30A FRM-30A RF GENERATOR

Edwards QDP80 QDP80 Dry Vacuum Pump

ENI RFC4T RFC4T Match

Genmark GB3 GB3 Wafer handling Robot

KLA 7700m 7700m Leadscrew and Servo Motor CMC MT2115-014DF

KOGANEI ORCA 16X120 ORCA 16X120 Slit type rodless cylinder

Minato Electronics 1940 1940 EPROM Programmer with additional memory

Novellus VECTOR SOLA UV Cure VECTOR SOLA UV Cure PECVD (Chemical Vapor Deposition)

RFPP LF-5 LF-5 Generator

SIGMAMELTEC SFG3000 SFG3000 Photomask

TEL Tokyo Electron Indy-A-L Indy-A-L Vertical Furnace, LPRO

THK A6F 598 A6F 598 LINEAR WAY WITH SINGLE BEARING

LAM KIYO-FX/EFEM 141139 ETCH 12"

ADVANTEST T5585 T5585 Memory Tester

AE (Advanced Energy) PDX 2500 PDX 2500 Generator

APPLIED MATERIALS UVISION 5 UVISION 5 Bright Field Inspection

ASM EAGLE 60 EAGLE 60 Gold Ball Bonder

CANON BG4-7001 BG4-7001 BH8-1837-01 PCB

DAIHEN FTM-80A1 FTM-80A1 RF GENERATOR

Edwards iQDP 80 + QMB 500F iQDP 80 + QMB 500F Dry vacuum pump COMBO

ENI RFC-5 Controller RFC-5 Controller Miscellaneous

Gigaphoton A700GK-1 A700GK-1 248 nm (KrF) excimer laser

KLA 710-022410-01 Rev A5 710-022410-01 Rev A5 AR GRAPHICS DISPLAY CONTROLLER 2 PCB

KOGANEI LTD KA.CMA KA.CMA MINI CYLINDER

Minato Electronics 1940 1940 EPROM Programmer

NOVELLUS VECTOR VECTOR TEOS CVD SYSTEM

RFPP LF-5 LF-5 Generator

Sikama Falcon 8500 Falcon 8500 REFLOW OVEN

TEL Tokyo Electron Indy-B-L Indy-B-L Vertical Furnace

THK HSRIZRI/UUM+490LM HSRIZRI/UUM+490LM LINEAR WAY WITH SINGLE BEARING

LAM STRATA-3 - CVD 12"

Advantest BGR-017579 BGR-017579 ADVANTEST T5335P PC Board

AE (Advanced Energy) PDX 2500 PDX 2500 Generator

Applied Materials DPS2 AE DPS2 AE MESA (Dry Etch)

ASM EAGLE 60 EAGLE 60 Gold Ball Bonder

Canon BH8-2022-01, BG8-3369, BG4-8680 BH8-2022-01, BG8-3369, BG4-8680 EXP-CD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

DAIHEN MFG-20SA3 MFG-20SA3 RF GENERATOR

Edwards iQDP 80 + QMB 500F iQDP 80 + QMB 500F Dry vacuum pump combo

ENI ACG-3B ACG-3B RF Generator

GL Automation IDSCOPE IDSCOPE Wafer bar code reader

KLA 710-029421-00 Rev C2 710-029421-00 Rev C2 SERVO DRIVE 2 III PCB

KOGANEI LTD KA.CMA KA.CMA MINI-CYLINDER

MINERTIA MOTOR RM SERIES RM SERIES MOTOR ELECTRIC

NOVELLUS VECTOR VECTOR TEOS CVD SYSTEM

RFPP LF-5 LF-5 Generator

Singulus S-000414 S-000414 Singular

TEL Tokyo Electron Indy-B-L Indy-B-L Vertical Furnace, D-poly

THK ATHI240 ATHI240 LINEAR WAY WITH SINGLE BEARING

LAM STRATA-3 - CVD 12"

Advantest BGR-016796 BGR-016796 ADVANTEST T5335P PC Board PGR-816796CC3

AE (Advanced Energy) PDX 2500 PDX 2500 Generator

Applied Materials DPS2 AE DPS2 AE MESA (Dry Etch)

ASM EAGLE 60 EAGLE 60 Gold Ball Bonder

Canon BH8-1938-01BG4-9386 BG8-2694 BH8-1938-01BG4-9386 BG8-2694 CD90-CD PCB assembly

DAIHEN MFT-20SB MFT-20SB RF GENERATOR

Edwards iQDP 80 + QMB 500F iQDP 80 + QMB 500F Dry vacuum pump combo

ENI RFC-5MW RFC-5MW Miscellaneous

GL Automation IDSCOPE IDSCOPE Wafer bar code reader

KLA 7700m 7700m Mirror Assy with Fiber Optic

KOGANEI LTD KA.CMA KA.CMA MINI-CYLINDER

MINERTIA MOTOR RM SERIES RM SERIES INDUCTION MOTOR

NOVELLUS VECTOR VECTOR TEOS CVD SYSTEM

RFPP LF-5 LF-5 Generator

Singulus Singular XP Singular XP ICP PECVD system for solar cells production

TEL Tokyo Electron Indy-B-L Indy-B-L Vertical Furnace, D-poly

THK Y8A31 Y8A31 LINEAR WAY WITH SINGLE BEARING

LAM STRATA-3 174201 CVD 12"

Advantest BGR-018931 BGR-018931 ADVANTEST T5335P PC Board

AE (Advanced Energy) PDX 2500 PDX 2500 Generator

Applied Materials Oasis Clean Oasis Clean Batch Wafer Processing

ASM EAGLE 60 EAGLE 60 Gold Ball Bonder

Canon BG4-6466-000 BG4-6466-000 ZMI 1000 A Board (Y)

DAIHEN NX-HGA-30B NX-HGA-30B RF GENERATOR

Edwards iQDP 80 + QMB250F iQDP 80 + QMB250F Dry vacuum pump combo

ENI RFC-5MW RFC-5MW Miscellaneous

GL Automation IDSCOPE IDSCOPE Wafer bar code reader

KLA 710-023589-00 Rev B2 710-023589-00 Rev B2 SERVO DRIVE 1 III PCB

Kokusai DJ-1206VN DJ-1206VN Vertical Furnace

MISC BPS MATCH BPS MATCH Match

NOVELLUS VECTOR VECTOR TEOS CVD SYSTEM

RFPP LF-5S LF-5S Generator

SJ SEMITECH Liquid Horning Liquid Horning Liquid Horning

TEL Tokyo Electron Indy-B-L Indy-B-L Vertical Furnace, DCS Nitride

THK A6 C II A6 C II LINEAR WAY WITH SINGLE BEARING

LAM STRATA-GX 172659-01 CVD 12"

Advantest BGR-016794 BGR-016794 PGR-816794CC3 PC Board

AE (Advanced Energy) PDX II PLASMA DRIVE 2000 PDX II PLASMA DRIVE 2000 Generator

Applied Materials Producer GT Chamber (A) Producer GT Chamber (A) SICONI Chamber only

ASM EAGLE 60 EAGLE 60 Gold Ball Bonder

Canon BH8-2065-02, BG4-8805, BG8-3375 BH8-2065-02, BG4-8805, BG8-3375 CD90-INTLK board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

DAIHEN NX-HRM-30B NX-HRM-30B RF GENERATOR

Edwards QDP80 Drystar QDP80 Drystar Dry Vacuum pump with power box

ENI RFC-5MW RFC-5MW Miscellaneous

GL Automation IDSCOPE IDSCOPE Wafer bar code reader

KLA 710-101836-02 Rev G3 710-101836-02 Rev G3 AUTOFOCUS 2 PCB

Kokusai DD-1223 V-DF DD-1223 V-DF Vertical Furnace, Wet Oxidation

MISC DC BIAS CONTROL DC BIAS CONTROL Match

NOVELLUS VECTOR VECTOR TEOS CVD SYSTEM

RFPP Match Match Match

SKF 6002-2Z 6002-2Z bearings

TEL Tokyo Electron Indy-I-L Indy-I-L Vertical Furnace

THK 689 689 LINEAR WAY WITHOUT BEARING

LAM STRATA-GX 66280 CVD 12"

Advantest BGR-016793 BGR-016793 ADVANTEST T5335P PC Board

AE (Advanced Energy) PE-1000 PE-1000 Generator

Applied Materials DPS2 AE DPS2 AE MESA (Dry Etch)

ASM AD810 AD810 Automatic Die Attach Tool

Canon FPA1550 MK4 (Spares) FPA1550 MK4 (Spares) Targetting lenses for Canon Stepper qty 2

DAIHEN NX-HRM-30B4 NX-HRM-30B4 RF GENERATOR

Edwards QDP80 + QMB250F QDP80 + QMB250F Dry Vacuum pump combo with power box

ENI RFC-5MW RFC-5MW Miscellaneous

GL Automation IDSCOPE IDSCOPE Wafer bar code reader

KLA 7700m 7700m 201989 Concave Mirror

KOKUSAI VR70 VR70 Resistivity Test Tool

MISC DC BIAS CONTROL DC BIAS CONTROL Match

Novellus C3 Vector UV Cure C3 Vector UV Cure UV Cure

RFPP RF 25M RF 25M Generator

SMC CY 4R08 CY 4R08 CY3B15-300 CYLINDER

TEL Tokyo Electron Indy-I-L Indy-I-L Vertical Furnace, LT ALD SIN

THK RSR12VM RSR12VM LINEAR WAY WITH 2 BEARINGS

LAM STRATA-GX 174031 CVD 12"

Advantest WUN-MONITORBOX WUN-MONITORBOX ADVANTEST power supply monitoring box, T5335P

AE (Advanced Energy) PE-2500 PE-2500 Generator

Applied Materials Producer Etch eXT Dielectric Producer Etch eXT Dielectric Dielectric Etch

ASM EAGLE 60 EAGLE 60 Gold Ball Bonder

Canon Zenith ZPS-250 Zenith ZPS-250 Multiple voltage power supply 250Watts

DAIHEN NX-PLB-01 NX-PLB-01 RF GENERATOR

Edwards QDP40 + QMB250F QDP40 + QMB250F Dry Vacuum pump combo with power box

ENI RFC-5MW RFC-5MW Miscellaneous

Glassman High Voltage, Inc PS/FL1.5F1.0 PS/FL1.5F1.0 Generator

KLA 050-654234-00 050-654234-00 Lamp Micro Line Filament w/ clips

Kokusai DJ-1236VN-DF DJ-1236VN-DF Vertical Low-Pressure CVD System

MISC DC BIAS CONTROL DC BIAS CONTROL Match

NPP NPG-15KM NPG-15KM RF GENERATOR

RFPP RF 30H RF 30H Generator

SMC ZPT25US-B5 ZPT25US-B5 Suction cups

TEL Tokyo Electron Interface module Interface module For Mark7, Mark8

THK KS 3J22 KS 3J22 RELIANCE BEARING

PSK DAS2000 PDR12001 ASHER 8"

Advantest T5371 T5371 Test system (With a single test head )

AE (Advanced Energy) PE-2500 PE-2500 Generator

Applied Materials Producer GT Chamber (B) Producer GT Chamber (B) SICONI Chamber only

ASM Siplace CA4 Siplace CA4 High volume automatic flip-chip bonder

Canon Shimaden SR25-2P-N-00699609 Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

DAIHEN NX-RGA-10G NX-RGA-10G RF GENERATOR

Edwards QDP80 + QMB250F QDP80 + QMB250F Dry Vacuum pump combo with power box

ENI RFC-5MW RFC-5MW Miscellaneous

Gossen Konstanter IEC625 IEC625 Laboratory Power supply Gossen Konstanter UOP

KLA 7700m 7700m Detector Assy

Kokusai DD1223VN DD1223VN Pyro

MITSUBISHI MR-J10A1 MR-J10A1 AC SERVO

NPP NPG-3KG NPG-3KG RF GENERATOR

RFPP RF 50 RF 50 Generator

SMC WO 36517 WO 36517 RODLESS CYLINDER

TEL Tokyo Electron LU-8209 LU-8209 Auto refill system for TEL ALPHA-8S TEOS

THK LMT40UUM+489LFM LMT40UUM+489LFM LEADSCREW FOR EBARA FREX 200

PSK EVOLITE2 PEK11001 ASHER 12"

Advantest BGR-016796 BGR-016796 ADVANTEST T5335P PC Board

AE (Advanced Energy) PE-2500 PE-2500 Generator

Applied Materials ENDURA 2 ENDURA 2 CH-1_TTN/CH-2_AL/CH-3_TTN/ CH-C&D_PCXT/CH-E&F_Degas

ASML PAS 5500/55A PAS 5500/55A I-line Stepper

Canon Shimaden SR25-2P-N-00699609 Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

DAIHEN NX-WMN-50G NX-WMN-50G RF GENERATOR

EDWARDS GVI 100P GVI 100P Gate valve

ENI RFC-6 Controller RFC-6 Controller Miscellaneous

GPD PBFT856VS PBFT856VS Pull Force Tester

KLA 7700m 7700m Mouse & PCB 240C

Kokusai DJ1223VN DJ1223VN ALD

MITSUBISHI FR-Z120-0.4K FR-Z120-0.4K PLC, INVERTER 200 V CLASS

NPP NPG-8000H NPG-8000H RF GENERATOR

RFPP RF-20H Controller RF-20H Controller Miscellaneous

SMC CMFN20-50 CMFN20-50 AIR CYLINDER

TEL Tokyo Electron TE8500 TE8500 Dry Etch

THK CO.,LCD OR17 OR17 BLOCK SR-2V

HITACHI FB-2000A 0132-02 METRO 8"

Advantest BGR-018822 BGR-018822 ADVANTEST T5335P PC Board

AE (Advanced Energy) PE-2500 PE-2500 Generator

Applied Materials ENDURA 2 CH ENDURA 2 CH Preclean XT Chamber

ASML TWINSCAN AT:850D TWINSCAN AT:850D 248nm (KrF) Scanner

Canon Chino ES-600 Chino ES-600 Chart Recorder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

DAIHEN RMN-50M RMN-50M RF GENERATOR

EDWARDS 03-A344-02-881 03-A344-02-881 Rotary vacuum pump E1M40, E1M80,E2M40,E2M80

ENI RFC-6 Controller RFC-6 Controller Miscellaneous

Group 3 1981001 1981001 Digital Teslameter

KLA 2830 (PARTS) 2830 (PARTS) EFEM ONLY with Yaskawa XURCM9206 robot

KOKUSAI ZESTON-lll DD-1223V ZESTON-lll DD-1223V Dielectric Etch

MITSUBISHI Melservo MR-C10A1-UE Melservo MR-C10A1-UE AC SERVO AMPLIFIER

NPP NPG-8000H(VER 04) NPG-8000H(VER 04) RF GENERATOR

RFPP RF-20M RF-20M Generator

SMC CDGBN20-204 CDGBN20-204 AIR CYLINDER

TEL Tokyo Electron VMU-40-007 VMU-40-007 Heater for Alpha 8SE furnace

THK CO.,LCD SC35uu SC35uu LM CASE UNIT

HITACHI IS-2700 118A0020-01 METRO 8"

Advantest BGR-017418 BGR-017418 ADVANTEST T5335P PC Board

AE (Advanced Energy) PEII 5K PEII 5K Generator

Applied Materials ENDURA 2 CH ENDURA 2 CH Preclean XT Chamber

ASML YieldStar S-100B YieldStar S-100B Overlay Measurement System

Canon BG3-2428-000 BG3-2428-000 8 inch and12 inch PIN wafer chuck ASS’Y ( FPA 5000 ES2, ES2+, ES3, ES4)

DAIHEN RTGA-30A1 RTGA-30A1 RF GENERATOR

EDWARDS 03-A301-51-883 03-A301-51-883 Eh series mechanical booster pump and combination units

ENI RFC-6 Controller RFC-6 Controller Miscellaneous

GSC P140-74 P140-74 Gleichstrom Servo Motor

KLA 7700m 7700m Convex Glass Plate

KOKUSAI QUIXACE2 QUIXACE2 ALD TiN

Mitutoyo Quick Vision QVT1-X606P1L-D Quick Vision QVT1-X606P1L-D CNC coordinate measuring system

NPP NPG-8000H(VER 05) NPG-8000H(VER 05) RF GENERATOR

RFPP RF20S RF20S Generator

SMC CDM2BZ20-125 CDM2BZ20-125 AIR CYLINDER

TEL Tokyo Electron EXPEDIUS EXPEDIUS DUMMY CLN

THK CO.,LCD FBA 5 FBA 5 FLAT BALL

HITACHI IS-2700 52907 METRO 8"

Advantest T5335P T5335P Boards from an Advantest T5335P Test system

AE (Advanced Energy) Pinnacle 10k Pinnacle 10k Generator

Applied Materials AERA 4 AERA 4 Reticle Inspection

ASML PAS 5500/100D PAS 5500/100D i-Line Stepper

CANON FPA-5000 ES3 (Spare Parts) FPA-5000 ES3 (Spare Parts) Spare Parts for Canon ES series DUV scanners

DAIHEN WGA-50E WGA-50E RF GENERATOR

EDWARDS 03-A344-02-882 03-A344-02-882 Rotary vacuum pump E1M40,E2M40,E2M80 and E2M40S, E2M80S superpumps

ENI RFC-6 Controller RFC-6 Controller Miscellaneous

GSI CSP200 CSP200 Wafer Level Die Marking System

KLA 7700m 7700m two cables 7 brackets

Kokusai Quixace II ALD Nitride Quixace II ALD Nitride Vertical Furnace

MKS DCG 100E OPTIMA DCG 100E OPTIMA Generator

NPP NPG-8000H(VER 06) NPG-8000H(VER 06) RF GENERATOR

RFPP RF-20S RF-20S Generator

SMC CMFN20-50 CMFN20-50 AIR CYLINDER

TEL TOKYO ELECTRON TRIAS CHAMBER ONLY TRIAS CHAMBER ONLY LT TIN (N2/NH3/N2/CIF3)

TOK 12262 12262 Wafer bonder

HITACHI S-4160 4407-14 METRO -

Advantest T5335P T5335P Automated Test System

AE (Advanced Energy) Pinnacle 10k Pinnacle 10k Generator

Applied Materials ENDURA 2 CH ENDURA 2 CH Preclean XT Chamber

ASML TWINSCAN AT:400 TWINSCAN AT:400 i-Line Scanner

CANON FUJITSU DENSO 4247-E924 FUJITSU DENSO 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

DAIHEN WGA-50E WGA-50E RF GENERATOR

Edwards / Seiko Seiki STP 1000C STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40

ENI RFC-6 Controller RFC-6 Controller Miscellaneous

Hamamatsu C7103 C7103 PC Controlled IC Back-side Lapping and Wafer Grinding System

KLA 7700 7700 Misc Bracket

Kokusai Quixace II ALD Nitride Quixace II ALD Nitride Vertical Furnace

MKS MW-5060 MW-5060 Match

NPP NPG-8000H(VER 08) NPG-8000H(VER 08) RF GENERATOR

RFPP RF-20S RF-20S Generator

SMC CDM2RA20-190 CDM2RA20-190 AIR CYLINDER

TEL TOKYO ELECTRON VIGUS MASK VIGUS MASK ETCH

TOK 12263 12263 Wafer Debonder

HITACHI S-4160 7117-02 METRO -

Advantest BGR-019267 BGR-019267 ADVANTEST T5335P PC Board

AE (Advanced Energy) Pinnacle 10k Pinnacle 10k Generator

Applied Materials Centura 5200 Ti/TiN MCVD Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition)

ASML TWINSCAN AT:850C TWINSCAN AT:850C 248nm (KrF) Scanner

CANON Fujitsu Denso 4247-E924 Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

DAIHEN WGA-50E1 WGA-50E1 RF GENERATOR

EDWARDS. ETC. Vacuum Pumps Vacuum Pumps Various Vacuum Pumps, Tubo Pumps, Controllers for sale

ENI RFC-6 Controller RFC-6 Controller Miscellaneous

HAMPTON CYCLETROL 240 CYCLETROL 240 CIRCUIT BREAKER

KLA 7700m 7700m Photomultiplier

Kokusai Quixace II ALD Nitride Quixace II ALD Nitride Vertical Furnace

MKS MW-5060 MW-5060 Match

NPP NPM-10KCSM NPM-10KCSM RF GENERATOR

RFPP RF-20S RF-20S Generator

SMC CDG1FA20-222 CDG1FA20-222 AIR CYLINDER

TEL TOKYO ELECTRON VIGUS MASK VIGUS MASK ETCH

TOKIMEC VA12134A VA12134A DIRECTIONAL CONTROL VALVE

HITACHI S-4700 (w/EDAX) 9310-10 METRO 6"

Advantest BGR-020900 BGR-020900 ADVANTEST T5335P PC Board

AE (Advanced Energy) Pinnacle 10k Pinnacle 10k Generator

Applied Materials ENDURA 2 CHAMBER ONLY ENDURA 2 CHAMBER ONLY Remote Plasma Clean Chamber

ASML YieldStar S-200B YieldStar S-200B Overlay Measurement System

CANON Fujitsu Denso 4247-E924 Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

DAIHEN WMN-50C6A WMN-50C6A RF GENERATOR

Efector 500 500 Pressure sensor switch, programmable

ENI RFC-6 Controller RFC-6 Controller Miscellaneous

Henry Henry 2k Controller Henry 2k Controller Miscellaneous

KLA 710-022400-01 D5 710-022400-01 D5 Graphic Display Controller 1 PCB for KLA 2xx reticle inspection system

Kokusai Quixace II Anneal Quixace II Anneal Vertical Furnace

MKS MW-5060 MW-5060 Match

NPP NPM-10KCSMD NPM-10KCSMD RF GENERATOR

RFPP RF-20S RF-20S Generator

SMC CDJ2F16 CDJ2F16 AIR CYLINDER

TEL TOKYO ELECTRON VIGUS MASK VIGUS MASK ETCH

TOKIMEC 012-7 012-7 DIRECTIONAL CONTROL VALVE

HITACHI S-4800 (w/EDAX) 9127-05 METRO 8"