카테고리 없음

SPS GLOBAL supply available inventory list 17

SPS 2024. 5. 14. 15:47

Hello, We are SPS Global Surplus Equipment Specialist creating New Values!

We're uploading our supply available inventory list

We will always try to deliver the best quality with customer satisfaction first.

If you're interested or if there's a product you need

Please feel free to contact us!!

 

to contact

kyjang@semi-sps.com

+82 010-4955-5061

whatsapp:

https://whatsapp.com/channel/0029Vae5fP0DOQIagRvaNB1X

 

Business hours

09:00-18:00 KST Mon to Fri
Please send E-mail to us even if it's not our business hours! We'll get in touch with you ASAP:)

 

I hope you have a happy day today 

 

 

Mitsubishi Electric AD75P3-S3 AD75P3-S3 PLC

Damfill M3-061 Scrap

Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K TELFORMULA ALD High-K Vertical Furnace

KLA-Tencor Corp / SensArray SensArray 1530 SensArray 1530 Temperature Monitoring

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

AMAT 3880-01643 3880-01643 WSHRSEALING 3/8 .364ID X .666OD SST W/VI, NEW, LOT 8

Keysight 4072F 4072F

DISCO DFD651 DFD651 Dicing saw

Mitsubishi Electric GT15-60ATT-87 GT15-60ATT-87 TOUCH PANEL ATTACHMENT

Damfill M36-001 Scrap

Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K TELFORMULA ALD High-K Vertical Furnace

Rogers Machinery DUAL COMPRESSOR DUAL COMPRESSOR Air Compressors

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

LAM Research 2300 Exelan 2300 Exelan Dielectric Etch

AMAT 3910-01099 3910-01099 LABEL CE WARNING NON-IONZ RADIAT TRIANG 1.97″IEC, NEW

Keysight 4072F 4072F 300 mm

DISCO DFD6360 DFD6360 Dicing saw

Mitsubishi Electric A68DAI-S1 A68DAI-S1 PLC

Damfill Scrap

Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K TELFORMULA ALD High-K Vertical Furnace

Therma-Wave Inc. Therma-Probe 300 Therma-Probe 300 Implant Dosing Measurement

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

Sumitomo Eaton Nova (SEN) NV-GSD-HE3 NV-GSD-HE3 High Energy Implanter

AMAT 3920-00156 3920-00156 TOOL TORQUE SCREWDRIVER 1/4HEX-SKT-DR 11IN-LBS 4″LG, NEW

Keysight 4072F 4072F

DISCO DTU-170 DTU-170 Chiller

Mitsubishi Electric Q06HCPU Q06HCPU PLC

DamfillM3-161 Scrap

Tokyo Electron Ltd. (TEL) TELINDY Plus IRAD Oxide TELINDY Plus IRAD Oxide Vertical Furnace

FSI International Excalibur Excalibur Batch Wafer Processing

Ushio UMA-2003 UMA-2003 UV Cure System

Sumitomo Eaton Nova (SEN) NV-GSD-HE3 NV-GSD-HE3 High Energy Implanter

AMAT 3950-01021 3950-01021 NOZ, SPRAY VEEJET 1/8 NPT, NEW, LOT 26

Keysight 4072F 4072F

DISCO DAD321 DAD321 Dicing saw

Mitsubishi Electric Q62DA Q62DA PLC

Datacon 2200 apm 2200 apm 2200 apm

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)

KLA-Tencor Corp. 2111 2111 Brightfield Inspection

CyberOptics SQ3000-DD SQ3000-DD Automatic Optic Inspection (AOI)

LAM Research 2300e6 KIYO EX 2300e6 KIYO EX Polysilicon Etch

AMAT AMAT ENDURA AMAT ENDURA ROBOT BLADE, USED

Smart Sonic 6000 6000

DISCO BVDS0120 BVDS0120 DFG8540 Z1 (new)

Mitsubishi Electric A1S61PN A1S61PN PLC

Datacon8800FC Scrap

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)

KLA-Tencor Corp. 2111 2111 Brightfield Inspection

CyberOptics SE600 SE600 Solder Paste Inspection (SPI)

Lam Research EOS EOS Single Wafer Processing

ARROW ELECRONICS IND LOULWB-24-3 TOWER LIGHT AC/DC 244V RYG COLOR ELECRONICS IND LOULWB-24-3 TOWER LIGHT AC/DC 244V RYG COLOR ELECRONICS IND LOULWB-24-3 TOWER LIGHT AC/DC 244V RYG COLOR

Smart Sonic 6000 6000

DISCO BVDS0120 BVDS0120 DFG8540 Z1 (used)

Mitsubishi Electric A1S62DA A1S62DA PLC

DFD650&DFD651 scrap

Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Telius SP 305 DRM Dielectric Etch

KLA-Tencor Corp. 2111 2111 Brightfield Inspection

Panasonic Factory Solutions Co., Ltd NPM-D3 (NM-EJM6D) NPM-D3 (NM-EJM6D) SMT Mounter (Pick and Place)

Ebara FREX300S2 FREX300S2 Multi-Process CMP

ASPEN MOTION RM23-C018 RM23-C018 SERVO MOTOR 08260034

TePla 660 660 300 mm

DISCO BVDS0073 BVDS0073 DFG8540 Dresser Board(new)

Mitsubishi Electric A1S68DAV A1S68DAV PLC

DISCO CORPORATION DTU152 DTU152 DTU152

(AMAT) Centura AP DPS AdvantEdge G2 Metal Centura AP DPS AdvantEdge G2 Metal Metal Etch

KLA-Tencor Corp. 2111 2111 Brightfield Inspection

Panasonic Factory Solutions Co., Ltd NPM-D3 (NM-EJM6D) NPM-D3 (NM-EJM6D) SMT Mounter (Pick and Place)

(AMAT) Producer SE PECVD TEOS Producer SE PECVD TEOS PECVD (Chemical Vapor Deposition)

ASTEX FI80156 FI80156 MICROWAVE GENERATOR HEAD

TEL ACT 12 SOD ACT 12 SOD 300 mm

DISCO BVDS0073 BVDS0073 DFG8540 Dresser Board(used)

Mitsubishi Electric A1SJ61BT11 A1SJ61BT11 PLC

DISCO CORPORATION DTU152 DTU152 DTU152

KLA-Tencor Corp. Surfscan SP2 Surfscan SP2 Particle Measurement

(AMAT) AMAT 0 AMAT 0 Chiller/Heat Exchanger

Panasonic Factory Solutions Co., Ltd PanaCIM PanaCIM Line Management System

(AMAT) Producer SE PECVD SILANE Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition)

AXCELIS 580773H 580773H TC REV3 WAFER ION TRANSFER

Lam Research Altus Max Altus Max 300 mm

DISCO Manual Manual DFG8540 Manual

Mitsubishi Electric A1SJ71UC24-R2 A1SJ71UC24-R2 PLC

DISCO CORPORATION DTU152 DTU152 DTU152

LAM Research 2300 Exelan Flex FX - Chamber Only 2300 Exelan Flex FX - Chamber Only Dielectric Etch

Keithley Instruments, Inc. 300 300 Switching Matrix

PBT Works s.r.o. SuperSWASH II SuperSWASH II Stencil Cleaner

(AMAT) Producer GT APF Producer GT APF PECVD (Chemical Vapor Deposition)

AXCELIS 631834 631834 THERMOCHUCK ASSY KIT

Nikon AMI-3500 AMI-3500 300 mm

DISCO DFD641 (JAN.2000) DFD641 (JAN.2000) Dicing saw

Mitsubishi Electric A1SY42P A1SY42P PLC

DISCO CORPORATION DTU1531 DTU1531 DTU1531

ASM International Eagle-12 Rapidfire Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)

Steag Industrie AG Marangoni IPA Dryer Marangoni IPA Dryer Batch Wafer Processing

Agilent / Keysight E4990A E4990A Impedance Measuring Instruments

(AMAT) Producer GT APF Producer GT APF PECVD (Chemical Vapor Deposition)

BUSS BUSS CHCC1 FUSE HOLDER 30A 600V BUSS CHCC1 FUSE HOLDER 30A 600V BUSS CHCC1 FUSE HOLDER 30A 600V

KLA-Tencor Archer 300 AIM Archer 300 AIM 300 mm

ELME transformer transformer Transformer

Mitsubishi Electric A61PN A61PN PLC

DISCO CORPORATION DTU1531 DTU1531 DTU1531

ASM International Eagle-12 Rapidfire Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)

Nisso engineering co. ltd / NISON 1800S-55C 1800S-55C Batch Wafer Processing

ECSAL Technologies Pte. Ltd. Hydrotek-03 Hydrotek-03 Flux Cleaner

Novellus Systems Inc. VECTOR Extreme VECTOR Extreme PECVD (Chemical Vapor Deposition)

BUSS BUSS CHCC1 FUSE HOLDER 30A 600V BUSS CHCC1 FUSE HOLDER 30A 600V BUSS CHCC1 FUSE HOLDER 30A 600V

KLA-Tencor Archer 300 AIM Archer 300 AIM 300 mm

EO tech CSM3002FC CSM3002FC Laser marker

Mitsubishi Electric AJ35PTF-56DR AJ35PTF-56DR PLC

DISCO CORPORATION DTU1531 DTU1531 DTU1531

ASM International Eagle-12 Rapidfire Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)

PCI Ozone and Controls Systems Inc. G-7 G-7 Ozone Generator

PVA TePla America, Inc. PS 660 PS 660 Plasma Cleaner

COMDEL RF & DC POWER SUPPLY RACK RF & DC POWER SUPPLY RACK RF & DC POWER SUPPLY RACK

BUSS BUSS CHCC2 FUSE HOLDER 30A 600V BUSS CHCC2 FUSE HOLDER 30A 600V BUSS CHCC2 FUSE HOLDER 30A 600V

TEL Archer 300 AIM Archer 300 AIM 300 mm

Giant Force巨孚 NA NA High temperature thermostat

Mitsubishi Electric GT1155-QSBD GT1155-QSBD TOUCH PANEL

DISCO CORPORATION DTU1531 DTU1531 DTU1531

Tokyo Electron Ltd. (TEL) TELFORMULA Nitride TELFORMULA Nitride Vertical Furnace

Dainippon Screen Mfg. Co. (DNS) FS-820L FS-820L Batch Wafer Processing

Electroglas Inc. (EMTS) Horizon 4080X Horizon 4080X Production Wafer Prober

DAGE 4000 BONDTESTER BS5KG SERIES 4000 4000 BONDTESTER BS5KG SERIES 4000 4000 BONDTESTER BS5KG SERIES 4000

BUSS BUSS CHCC2 FUSE HOLDER 30A 600V BUSS CHCC2 FUSE HOLDER 30A 600V BUSS CHCC2 FUSE HOLDER 30A 600V

STI AT368 AT368 300 mm

GPM KS-340 KS-340 Die sorter

Mitsubishi Electric GT15-60ATT-96 GT15-60ATT-96 TOUCH PANEL ATTACHMENT

Disco corporation Ja Disco DFD660/670 Disco DFD660/670 Disco DFD660/670

Tokyo Electron Ltd. (TEL) TELFORMULA Nitride TELFORMULA Nitride Vertical Furnace

Dainippon Screen Mfg. Co. (DNS) FS-820L FS-820L Batch Wafer Processing

Kokusai Vertron-V(S2) DD-835V Vertron-V(S2) DD-835V Vertical Furnace

EBARA REX300S CLEANER MODULE ONLY, LOT OF 2 REX300S CLEANER MODULE ONLY, LOT OF 2 REX300S CLEANER MODULE ONLY, LOT OF 2

CANON BH4-0515-02 BH4-0515-02 PCB BOARD BG6-0476 , USED

STI AT368 AT368

Hermes EC-250S EC-250S CO2 bubbler

Mitsubishi Electric GT1575-VTBA GT1575-VTBA TOUCH PANEL

Disco corporation Ja Disco DFD660/670 Disco DFD660/670 Disco DFD660/670

(AMAT) Centura AP DPS AdvantEdge G2 Poly Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch

Dainippon Screen Mfg. Co. (DNS) WS-820C WS-820C Batch Wafer Processing

Kaijo Corporation 778T-A 778T-A Batch Wafer Processing

TEL SPA 300MM MAINFRAME AND EFEM SPA 300MM MAINFRAME AND EFEM SPA 300MM MAINFRAME AND EFEM

CKD 2619-2C-P9 2619-2C-P9 REGULATOR CLEAN TYPE, USED

Optical Gaging Products (OGP) Avant 400 Avant 400

HITACHI DB730-AC DB730-AC Die bonder

Mitsubishi Electric Q02HCPU Q02HCPU PLC

Disco corporation Ja Disco DFD660/670 Disco DFD660/670 Disco DFD660/670

Tokyo Electron Ltd. (TEL) Tactras RLSA Poly Tactras RLSA Poly Polysilicon Etch

Dainippon Screen Mfg. Co. (DNS) FS-820L FS-820L Batch Wafer Processing

S-TEC / S.E.S. CO., LTD. AE1085F AE1085F Batch Wafer Processing

TEL UNITY SP 200MM UNITY SP 200MM UNITY SP 200MM

CKD 2619-2C-P9+G49D 2619-2C-P9+G49D Clean regulator, USED

Tango Systems (Applied Materials) Axcela Axcela 300 mm

KLA-Tencor (ICOS) CI-T130 CI-T130 Lead scanner

Mitsubishi Electric Q1MEM-128S Q1MEM-128S PLC MEMORY

Disco corporation Ja Disco DFD660/670 Disco DFD660/670 Disco DFD660/670

Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Telius SP 305 DRM Dielectric Etch

Chemwest K232 K232 Quartz Tube Cleaner

Accretech/TSK UF3000EX UF3000EX Production Wafer Prober

TEL UNITY EP 200MM UNITY EP 200MM UNITY EP 200MM

CKD 2619-2C-P9-FL259996 2619-2C-P9-FL259996 G49D Clean regulator, USED

Onto Innovations AXI-940B AXI-940B 300 mm

KLA-Tencor (ICOS) CI-T130 CI-T130 Lead scanner

Mitsubishi Electric Q312B Q312B PLC

Disco corporation Ja Disco DFD660/670 Disco DFD660/670 Disco DFD660/670

Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Telius SP 305 DRM Dielectric Etch

Delatech Inc. 858 - V2 858 - V2 Abatement - CDO Scrubber

Accretech/TSK UF3000 UF3000 Production Wafer Prober

AMAT 300MM CENTURA PRODUCER CHAMBER 300MM CENTURA PRODUCER CHAMBER 300MM CENTURA PRODUCER CHAMBER

CKD AMD312-X2149-K AMD312-X2149-K PNEUMATIC VALVE , NEW

Onto Innovations AXI-940B AXI-940B 300 mm

KLA-Tencor (ICOS) CI-T120 CI-T120 Lead scanner

Mitsubishi Electric Q64AD Q64AD PLC

Disco corporation Ja Disco DFD660/670 Disco DFD660/670 Disco DFD660/670

Tokyo Electron Ltd. (TEL) TELINDY Plus IRAD Oxide TELINDY Plus IRAD Oxide Vertical Furnace

FSI International Excalibur Excalibur Batch Wafer Processing

Accretech/TSK UF3000 UF3000 Production Wafer Prober

NIKON NRM3300 OVERLAY SYSTEM NRM3300 OVERLAY SYSTEM NRM3300 OVERLAY SYSTEM

CKD AMD312-X2150-K AMD312-X2150-K PNEUMATIC VALVE , NEW

Canon Bestem D-02H Bestem D-02H

KLA-Tencor (ICOS) CI-T130 CI-T130 Lead scanner

Mitsubishi Electric Q64DAN Q64DAN PLC

Disco corporation Ja Disco DFD660/670 Disco DFD660/670 Disco DFD660/670

CAMECA EX-300 EX-300 Implant Dosing Measurement

Amray, Inc. 3800c 3800c SEM - Defect Review (DR)

(AMAT) Centura AP DPS AdvantEdge G2 Metal Centura AP DPS AdvantEdge G2 Metal Metal Etch

AMAT CVD CHAMBER CVD CHAMBER CVD CHAMBER

CKD AMDZ1-6BUS-2 AMDZ1-6BUS-2 PNEUMATIC VALVE , NEW

Canon Bestem D-02H Bestem D-02H

KLA-Tencor (ICOS) CI-T130 CI-T130 Lead scanner

Mitsubishi Electric Q68DAVN Q68DAVN PLC

Disco DISCO6 DISCO6 DISCO6

Evatech/Balzers BAK SPLIT BAK SPLIT PVD (Physical Vapor Deposition)

Estek Automation SDN BHD WIS-150 WIS-150 Broadband Patterned Wafer Defect Inspection

(AMAT) Producer GT APF Producer GT APF PECVD (Chemical Vapor Deposition)

AMAT 0010-26898 0010-26898 ASSEMBLY, CATHODE, 300MM ENABLER , USED

CKD AMG00-X0552-K AMG00-X0552-K PNEUMATIC VALVE , NEW

Canon Bestem D-02H Bestem D-02H

KLA-Tencor (ICOS) CI-T830 CI-T830 Lead scanner

Mitsubishi Electric QY22 QY22 PLC

Disco DISCO9 DISCO9 DISCO9

Evatech/Balzers BAK SPLIT BAK SPLIT PVD (Physical Vapor Deposition)

Boschman BXXP\21 BXXP\21 Molding Equipment

(AMAT) Reflexion LK Reflexion LK Multi-Process CMP

AMAT 0010-40489 0010-40489 GATE VALVE V70-P-353-7-HAAZZBB , USED

CKD R1000-8 B120 R1000-8 B120 Pneumatic regulator, USED

Canon Bestem D-02H Bestem D-02H

KLA-Tencor (ICOS) IVC-1600 IVC-1600 Industrial Camera

Mitsubishi Electric A0J2HCPUP21 A0J2HCPUP21 PLC

DS-TEST 3sets X-Ray Machine scrap

Teikoku Taping System Co., Ltd. DXL2-800CS-LSR-BMP-CE DXL2-800CS-LSR-BMP-CE Tape Laminator

Strasbaugh 6DS-SP 6DS-SP Multi-Process CMP

(AMAT) Producer GT BD/BLOk Low k Dielectric Producer GT BD/BLOk Low k Dielectric PECVD (Chemical Vapor Deposition)

AMAT 0020-47330 0020-47330 CLAMP, DRIVE, 6-PORT SPINDLE, LK REFLEXION , NEW

CKD RC2000-10-G49PB3-P9 RC2000-10-G49PB3-P9 G49D Clean regulator, USED

Canon Bestem D-02H Bestem D-02H

KLA-Tencor (ICOS) IVC-2000 IVC-2000 Industrial Camera

Mitsubishi Electric A1S63ADA A1S63ADA PLC

EDA Industries (Asia SM 24 FH 150 SM 24 FH 150 SM 24 FH 150

Teikoku Taping System Co., Ltd. DXR2-800CS-CE DXR2-800CS-CE Taper/Detaper

Genesis 2020B 2020B Vapor Prime Oven

(AMAT) Centura AP DPS AdvantEdge G2 Metal Centura AP DPS AdvantEdge G2 Metal Metal Etch

AMAT 0041-55333 0041-55333 GASBOX LARGE ELECTRODE LID PRODUCER, NEW

CKD VEC-SHA8-X0340 VEC-SHA8-X0340 CONTROL VALVE VEC-VH8-X0331-2 VEC-CA-X0312-3

Canon Bestem D-02H Bestem D-02H

KLA-Tencor (ICOS) IVC-4000 IVC-4000 Industrial Camera

Mitsubishi Electric A1SD75P3-S3 A1SD75P3-S3 PLC

EDA Industries (Asia SM-24 FH150C SM-24 FH150C SM-24 FH150C

Teikoku Taping System Co., Ltd. DXR2-800CS-CE DXR2-800CS-CE Taper/Detaper

Chemwest K232 K232 Quartz Tube Cleaner

(AMAT) Centura AP eMax CT Centura AP eMax CT Dielectric Etch

AMAT 0090-06913 0090-06913 CONTROL BOX , USED

COMDEL CBX5000 CBX5000 RF GENERATOR FP3516R1 AMAT PART , USED

Canon Bestem D-02H Bestem D-02H

KLA-Tencor (ICOS) Manual Manual CD

Mitsubishi Electric A1SY42 A1SY42 PLC

Edax TEC. 12T/20T/20ST, 136-5 TEC. 12T/20T/20ST, 136-5 TEC. 12T/20T/20ST, 136-5

(AMAT) Endura II Chambers: Multiple Endura II Chambers: Multiple PVD (Physical Vapor Deposition)

KLA-Tencor Corp. CRS-1200 CRS-1200 Optical Review System

(AMAT) Centura AP DPS AdvantEdge G2 Poly Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch

AMAT 0090-B0670 0090-B0670 LCPS POWER SUPPLY , USED

COMDEL CPMX-1500 CPMX-1500 RF MATCH FP2251R1 , USED

Canon Bestem D-02H Bestem D-02H

KLA-Tencor (ICOS) ICOS6100_7.6 ICOS6100_7.6 CD

Mitsubishi Electric A2ACPUP21 A2ACPUP21 PLC

EKRA XM XM XM

ASM International Eagle XP EmerALD Eagle XP EmerALD ALD (Atomic Layer Deposition)

VARIOUS 1.25" SILICON WAFER 1.25" SILICON WAFER Wafers

ASML TWINSCAN XT:400G TWINSCAN XT:400G i-Line Scanner

AMAT 0090-B1230 0090-B1230 ECC1 POWER SUPPLY , USED

COMDEL CX10P CX10P DC POWER SUPPLY AMAT PART , USED

Canon Bestem D-02H Bestem D-02H

Lintec RAD2000 F12 RAD2000 F12 UV Irradiator

Mitsubishi Electric A2NCPUP21-S1 A2NCPUP21-S1 PLC

EO Technics BSM363G BSM363G BSM363G

(AMAT) Centura AP AdvantEdge G5 Metal Centura AP AdvantEdge G5 Metal Metal Etch

VARIOUS 1.5" SILICON WAFER 1.5" SILICON WAFER Wafers

Canon FPA-6000 ES6a FPA-6000 ES6a 248nm (KrF) Scanner

AMAT 0100-00546 0100-00546 ASSY PCB CHAMBER I/O DISTRIBUTION , USED

COMDEL RF & DC POWER SUPPLY RACK RF & DC POWER SUPPLY RACK RF & DC POWER SUPPLY RACK

Canon Bestem D-02H Bestem D-02H

Muehlbauer Tech DS20000 DS20000 Die sorter

Mitsubishi Electric A2USHCPU-S1 A2USHCPU-S1 PLC

ESEC 2008 Die Bonder Scrap

Semitool Inc. 270-ST 270-ST Spin Rinse / Dryer (SRD)

VARIOUS 1.875" SILICON WAFER 1.875" SILICON WAFER Wafers

Canon FPA-6000 ES6a FPA-6000 ES6a 248nm (KrF) Scanner

AMAT 0100-90127 0100-90127 TEMPERATRUE CONTROL SERVO , USED

COPLEY CONTROLS 800-1614 CONTROLS 800-1614 CONTROLS 800-1614 XENUS SERVO DRIVE AMPLIFIER , USED

Canon Bestem D-02H Bestem D-02H

Muehlbauer Tech DS15000 DS15000 Die sorter

Mitsubishi Electric A3ACPU-P21 A3ACPU-P21 PLC

ESEC 3200 WIRE BOND

Disco Hi-Tec DFL7340 DFL7340 Laser Saw

VARIOUS 2" SILICON WAFER 2" SILICON WAFER Wafers

Canon FPA-6000 ES6a FPA-6000 ES6a 248nm (KrF) Scanner

AMAT 0190-01428 0190-01428 PW SUP 2 CHN PLSD PLATE 60A/48V ENI , USED

Cosel PAA150F-24 PAA150F-24-N PAA150F-24 PAA150F-24-N power supply, AC 100-240V, 24V 6.5A, USED

Canon Bestem D-02H Bestem D-02H

NGK RC-1000A RC-1000A CO2 generator

Mitsubishi Electric A616DAV A616DAV PLC

ESEC3100 WIRE BONDER

SEMI-GAS / Applied Energy Systems (AES) Three Cylinder Fully Automatic Gas Cabinet Three Cylinder Fully Automatic Gas Cabinet Chemical/Gas Storage & Delivery

VARIOUS 2.25" SILICON WAFER 2.25" SILICON WAFER Wafers

Canon FPA-6000 ES6a FPA-6000 ES6a 248nm (KrF) Scanner

AMAT 0190-03680 0190-03680 IO Backplane PCB Board , USED

Cosel PAA300F-24 PAA300F-24 power supply, AC 100-240V, 24V 14A , USED

Canon Bestem D-02H Bestem D-02H

Nitto DSA840 DSA840 Wafer mounter

Mitsubishi Electric A951GOT-SBD A951GOT-SBD TOUCH PANEL

ESSEM TEC Convection Reflow Oven Convection Reflow Oven Convection Reflow Oven

SpeedFam Corp. IPEC 472 IPEC 472 Multi-Process CMP

VARIOUS 2.5" SILICON WAFER 2.5" SILICON WAFER Wafers

Canon FPA-5500 iZ+ FPA-5500 iZ+ i-Line Wide-Field Stepper

AMAT 0190-05399 0190-05399 DUAL CHNL SST CPCI DEVICENET BUS SCANNER , USED

Cosel PAA50F-24 PAA50F-24 power supply, 24V 2.2A AC100-240V, USED

Canon Bestem D-02H Bestem D-02H

Nitto HSA840 HSA840 Detaper

Mitsubishi Electric A985GOT-TBA A985GOT-TBA TOUCH PANEL

FICO AMS-11-MR1 FC-17 scrap

Cleanroom Depot SW6168 SW6168 Portable Clean Room

VARIOUS 2.875" SILICON WAFER 2.875" SILICON WAFER Wafers

Canon FPA-6000 ES5 FPA-6000 ES5 248nm (KrF) Scanner

AMAT 0190-05611 0190-05611 CARD CONTROLLER CPCI 166MHZ PENTIUM 3U 3 , USED

Cosel PAA600F-24 PAA600F-24 power supply, AC 100-240V, 24V 27A, USED

Canon Bestem D-02H Bestem D-02H

Nitto M286N M286N Wafer mounter

Mitsubishi Electric AJ35PTF-28DS AJ35PTF-28DS PLC

FICO,NETHERLANDS. FICO AMSi-204 FICO AMSi-204 FICO AMSi-204

Air Control, Inc. Microvoid FH-45D-S Microvoid FH-45D-S Fume Hood Workstation

VARIOUS 3" SILICON WAFER 3" SILICON WAFER Wafers

Canon FPA-6000 ES5 FPA-6000 ES5 248nm (KrF) Scanner

AMAT 0190-08680 0190-08680 CARD TWO CHANNEL DEVICENET CPCI 3U

DAGE 4000 DAGE 4000 DAGE 4000 BONDTESTER BS5KG SERIES 4000

Canon Bestem D-02H Bestem D-02H

Nitto MSA840 MSA840 Wafer mounter

Mitsubishi Electric AJ35PTF-56DS AJ35PTF-56DS PLC

Hanmi Semiconductor Laser Cleaning 1.0 Laser Cleaning 1.0 Laser Cleaning 1.0

Matrix Integrated Systems Matrix 105 Matrix 105 Stripper/Asher

VARIOUS 3.25" SILICON WAFER 3.25" SILICON WAFER Wafers

Dainippon Screen Mfg. Co. (DNS) SU-3200 SU-3200 Single Wafer Processing

AMAT 0190-10187 0190-10187 HEAD SWEEP MOTOR W/ LINEAR BEARING, USED

DELTA TAU ASSY TURBO PMAC2 CPU 4-AXIS 2-AXIS POWER UNIT , USED ASSY TURBO PMAC2 CPU 4-AXIS 2-AXIS POWER UNIT , USED ASSY TURBO PMAC2 CPU 4-AXIS 2-AXIS POWER UNIT , USED

Canon Bestem D-02H Bestem D-02H

Nitto MA3000-II MA3000-II Wafer mounter

Mitsubishi Electric AJ71UC24 AJ71UC24 PLC

Hiller GmbH DP484/11012/FD HILLER DECAPRESS DP DP484/11012/FD HILLER DECAPRESS DP DP484/11012/FD HILLER DECAPRESS DP

Rudolph Technologies, Inc. NSX 105 NSX 105 Macro-Defect

VARIOUS 200MM SILICON WAFER 200MM SILICON WAFER Wafers

Dainippon Screen Mfg. Co. (DNS) SU-3100 SU-3100 Single Wafer Processing

AMAT 0190-10187 0190-10187 HEAD SWEEP SERVO MOTOR, 300MM REFLEXION , USED

DIGITAL ELECTRONICS CORP UF7810-2 UF7810-2 DIGITAL FLAT PANEL, WORKING

Canon Bestem D-02H Bestem D-02H

Nitto UM-810 UM-810 UV machine

Mitsubishi Electric AST3301-B1-D24 AST3301-B1-D24 TOUCH PANEL

Hitachi REM-S 9380-2 REM-S 9380-2 REM-S 9380-2

Teikoku Taping System Co., Ltd. DXL2-800CS-LSR-BMP-CE DXL2-800CS-LSR-BMP-CE Tape Laminator

VARIOUS SILICON INGOT SILICON INGOT SILICON INGOT

Dainippon Screen Mfg. Co. (DNS) FC-3000 FC-3000 Batch Wafer Processing

AMAT 0190-24676 0190-24676 Copley Controls 800-1536 Accelnet Servo Drive , USED

Disco FBPCB-0079 FBPCB-0079 PCB (Blade Broken Detector) Ver. 3 PCB Board, USED

Canon Bestem D-02H Bestem D-02H

Nitto NITOMATIC NITOMATIC Detaper

Mitsubishi Electric AX11 AX11 PLC

Ind Solution S/B IN. D IV3010 IN. D IV3010 IN. D IV3010

Unaxis/Balzers EHV215A EHV215A Power Supply

Leica Inc. INS3300 INS3300 Macro-Defect

Dainippon Screen Mfg. Co. (DNS) FC-3000 FC-3000 Batch Wafer Processing

AMAT 0190-25187 0190-25187 RF MATCH, DUAL FREQUENCY W/ 0190-17029 , USED

Disco NBC-ZH 2030 NBC-ZH 2030 27HCCB/HCAA/HCBA Diamond Blade , NEW

Canon Bestem D-02H Bestem D-02H

Nitto DR3000-II DR3000-II Tape laminator

Mitsubishi Electric AY22 AY22 PLC

Ink scrap(Tsf_Wafer Prober)

Modular Process Technology RTP-600S RTP-600S Standalone RTP Equipment

VARIOUS 100MM SILICON WAFER 100MM SILICON WAFER Wafers

Dainippon Screen Mfg. Co. (DNS) FC-3100 FC-3100 Batch Wafer Processing

AMAT 0190-26873 0190-26873 CARD MOTION DELTA TAU PMAC2 CPU 160MHZ FW , USED

Disco NBC-ZH 2050 NBC-ZH 2050 27HECC Diamond Blade, NEW

Canon Bestem D-02H Bestem D-02H

Nitto DR8500-II DR8500-II Tape laminator

Mitsubishi Electric AY23 AY23 PLC

Ink-04 scrap

Matrix Integrated Systems Matrix 105 Matrix 105 Stripper/Asher

VARIOUS 125MM SILICON WAFER 125MM SILICON WAFER Wafers

Dainippon Screen Mfg. Co. (DNS) FC-3000 FC-3000 Batch Wafer Processing

AMAT 0190-37790 0190-37790 INTERLOCK MODULE P3I CHAMBER , USED

ENI DCG2D-A031100021MC DCG2D-A031100021MC DC PLASMA GENERATOR 100CG-100A , USED

Canon Bestem D-02H Bestem D-02H

Nitto HR8500-II HR8500-II Detaper

Mitsubishi Electric AY42 AY42 PLC

Inliner Machine scrap

Tokyo Electron Ltd. (TEL) Tactras DRM3 Tactras DRM3 Dielectric Etch

VARIOUS 150MM SILICON WAFER 150MM SILICON WAFER Wafers

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

AMAT 0190-49999 0190-49999 ACTUATOR ASSEMBLY ( COVER BROKEN ) , USED AS IS

FUJI ELECTRIC CN23232 CN23232 Arrestor Surge Protector for Low Voltage Circuits, USED

Canon Bestem D-02H Bestem D-02H

Nitto DR3000-III DR3000-III Tape laminator

Mitsubishi Electric F930GOT-BWD F930GOT-BWD TOUCH PANEL

Innolas GmbH C3000DPS C3000DPS C3000DPS

Ebara FREX300 Tungsten FREX300 Tungsten Tungsten CMP

Verigy (Agilent) V4400 V4400 Memory Tester

MOSAID Technologies MS3490 MS3490 Design Verification Tester

AMAT 0040-77213 0040-77213 SILL MOUNT CUP, SIDE LONG, USED

OMRON GT1-OD32ML GT1-OD32ML DIGITAL UNIT, 24VDC, 32Point, USED

Jordan Valley Semiconductors LTD JVX 7200 JVX 7200 X-ray Fluorescence Spectrometer

Verigy (Agilent) V4400 V4400 Memory Tester

MOSAID Technologies MS3480 MS3480 Design Verification Tester

AMAT 0040-77232 0040-77232 BULKHEAD, SEPARATE DRAIN LINES, NEW

OMRON GT1-ROS16 GT1-ROS16 RELAY UNIT, 24VDC 16Point

KLA-Tencor Corp. eS805 eS805 E-beam Inspection

Kokusai Quixace II Poly Quixace II Poly Vertical Furnace

Kokusai ZESTONE DJ-1223VN-DF ZESTONE DJ-1223VN-DF Vertical Furnace

AMAT 0040-77485 0040-77485 INTERNAL SHIELD, BU, NEW

OMRON H3CR-H8L H3CR-H8L TIMER, 24 VDC/AC 50/60Hz, USED

KLA-Tencor Corp. eDR-5210 eDR-5210 SEM - Defect Review (DR)

Kokusai Quixace Ultimate ALD SiN Quixace Ultimate ALD SiN Vertical Furnace

Nordson Asymtek Forte Forte Adhesive Dispenser

AMAT 0040-77690 0040-77690 PANEL, UPPER, REAR SKINS, USED

OMRON H3Y-2 H3Y-2 TIMER DC 24V AC250V, USED

KLA-Tencor Corp. eDR-5210 eDR-5210 SEM - Defect Review (DR)

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Nordson Asymtek Forte Forte Adhesive Dispenser

AMAT 0040-80234 0040-80234 CROSS COVER VERTICAL, NEW

OMRON MS3H-01-02 MS3H-01-02 INDICATOR DC 24V

SEZ Group SP304 SP304 Single Wafer Processing

Mattson Technology, Inc. Aspen III ICPHT Aspen III ICPHT Stripper/Asher

JTCorp JST-2000 JST-2000 Burn-in Board Loader/Unloader

AMAT 0040-80952 0040-80952 RETAINING RING COMPOSITE 8 INCH TITAN HE, NEW

OMRON MY2N-D2 MY2N-D2 24VDC RELAY W/ BASE SOCKET, USED

SEZ Group SP4300 SP4300 Single Wafer Processing

Mattson Technology, Inc. Aspen III ICPHT Aspen III ICPHT Stripper/Asher

MultiProbe Inc. AFP-MP2 AFP-MP2 Engineering Wafer Prober

AMAT 0040-87765 0040-87765 FACEPLATE, 23 MIL CENTER HOLE, RF CAP, S, USED

OMRON MY2N-D2 MY2N-D2 24VDC RELAY W/ BASE SOCKET, USED

Raytex Corporation RXW-1227 EdgeScan RXW-1227 EdgeScan Edge Defect

Mattson Technology, Inc. Aspen III ICPHT Aspen III ICPHT Stripper/Asher

(AMAT) Producer Etch eXT Dielectric Producer Etch eXT Dielectric Dielectric Etch

AMAT 0050-A0131 0050-A0131 HEATED PIPELINE,GIS MNPL, NEW

OMRON MY4N-D2 MY4N-D2 24VDC RELAY W/ BASE SOCKET, USED

Tokyo Electron Ltd. (TEL) ALPHA-303i process TBD ALPHA-303i process TBD Vertical Furnace

Mattson Technology, Inc. Aspen III ICPHT Aspen III ICPHT Stripper/Asher

BUEHLER MetaServe 2000 MetaServe 2000 Grinder Polisher

AMAT 0060-09177 0060-09177 LABEL, WARNING, RF VOLTAGE, NEW

OMRON MY4N-D2 MY4N-D2 24VDC RELAY W/ BASE SOCKET, USED

Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride ALPHA-303i Nitride Vertical Furnace

Tokyo Electron Ltd. (TEL) NT333 NT333 ALD (Atomic Layer Deposition)

BUEHLER EcoMet 3000 EcoMet 3000 Grinder Polisher

AMAT 0060-09181 0060-09181 LABEL, WARNING,RF SAFETY, NEW

ONTRACK 28-8875-002 28-8875-002 PCB, OPTO OUTPUT BOARD

Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride ALPHA-303i Nitride Vertical Furnace

ASML TWINSCAN XT:400F TWINSCAN XT:400F i-Line Scanner

Fischione 1050 1050 Specimen Preparation

AMAT 0090-77153 0090-77153 BRUSH BAR SWITCH RIGHT SENSOR, NEW

OPTEC DAI-ICHI DENKO EQ-111AD NIKON OPTICAL CONTROLLER DAI CHI OPTEC, WORKING DAI-ICHI DENKO EQ-111AD NIKON OPTICAL CONTROLLER DAI CHI OPTEC, WORKING DAI-ICHI DENKO EQ-111AD NIKON OPTICAL CONTROLLER DAI CHI OPTEC,

FSI International Zeta 300 G3 Zeta 300 G3 Batch Wafer Processing

Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal ALPHA-303i Anneal Vertical Furnace

Fischione 1050 1050 Specimen Preparation

AMAT 0090-77156 0090-77156 WAFER PRESENT SWITCH, BRUSH UNIT #1,2, NEW

ORIENTAL SPB10V60-4P SPB10V60-4P MOTOR LINEAR SLIDE ACTUATOR , USED

Thermo Fisher Scientific (Electron) Theta 300 MKII Theta 300 MKII Wafer Characterization

Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal ALPHA-303i Anneal Vertical Furnace

Hitachi (Semiconductor) S-4800 S-4800 FE SEM

AMAT 0090-77180 0090-77180 GRIPPER SENSOR HARNESS, ARM 2, NEW

ORIENTAL UDK5114N UDK5114N MOTOR VEXTA 5-PHASE DRIVER, USED

Ultratech CGS-300 CGS-300 Stress Measurement

Disco Hi-Tec DAD3350 DAD3350 Wafer Dicing Saw

Hitachi (Semiconductor) S-5500 S-5500 FE SEM

AMAT 0090-77181 0090-77181 GRIPPER SENSOR HARNESS, ARM 3, NEW

PATLITE CLE-24 CLE-24 LED Work Light, USED

Unity SC / HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Axiospect 300 Optical Review System

(AMAT) Endura II Chambers: Multiple Endura II Chambers: Multiple PVD (Physical Vapor Deposition)

Tokyo Electron Ltd. (TEL) P-12XL P-12XL Production Wafer Prober

AMAT 0090-77182 0090-77182 5 GRIPPER SENSOR HARNESS, ARM 4, NEW

PATLITE CLE-24 CLE-24 LED Work Light, USED

Unity SC / HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Axiospect 300 Optical Review System

Aqueous Technologies Trident III Trident III Flux Cleaner

Accretech/TSK UF3000 UF3000 Production Wafer Prober

AMAT 0090-77240 0090-77240 HARNESS, H2O2 HIGH LEVEL SENSOR , USED

PATLITE LCE-AFB LCE-AFB SIGNAL TOWER

Unity SC / HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Axiospect 300 Optical Review System

Electrovert / SPEEDLINE Aquastorm 100C Aquastorm 100C Aqueous Cleaner

(AMAT) Centura AP AdvantEdge G5 Mesa T2 Poly Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch

AMAT 0100-00546 0100-00546 ASSY PCB CHAMBER I/O DISTRIBUTION , NEW

PATLITE LME-_02FB LME-_02FB STACK LIGHT ASSEMBLY , USED

Nova Measuring Instruments, Inc. (Brook) V2600 V2600 Integrated CMP Endpoint / Film Measurement

YAMATO WORKS NRY-101V6W/LU NRY-101V6W/LU IR reflow

Hitachi (Semiconductor) CG4000 CG4000 SEM - Critical Dimension (CD) Measurement

AMAT 0100-02099 0100-02099 ASSY PCB CHAMBER I/O DISTRIBUTION , NEW

PURIRON JAPAN PC-504T-03ST PC-504T-03ST PRESSURE TRANSMITTER, USED

Tokyo Electron Ltd. (TEL) Tactras Vigus - Chamber Only Tactras Vigus - Chamber Only Dielectric Etch

Disco Hi-Tec DFL7160 DFL7160 Laser Saw

Hitachi (Semiconductor) CG4000 CG4000 SEM - Critical Dimension (CD) Measurement

AMAT 0100-02186 0100-02186 ASSY PCB CHAMBER I/O DISTRIBUTION , NEW

RDI 2M26FC 2M26FC TERMINAL BLOCK INTERFACE MODULE

LAM Research 2300 Exelan Flex 2300 Exelan Flex Dielectric Etch

Disco Hi-Tec DFL7160 DFL7160 Laser Saw

KLA-Tencor Corp. SL514 SL514 Reticle Inspection

AMAT 0100-02275 0100-02275 ASSY, CHAMBER DISTR, HDPCVD, 300MM , NEW

RIKEN KEIKI EC-582 EC-582 0-1 PPM Gas Indicator(F2), USED

Philips / Technos TREX 632 TREX 632 X-ray Fluorescence Spectrometer

(AMAT) Centura AP DPS AdvantEdge G2 Metal Centura AP DPS AdvantEdge G2 Metal Metal Etch

(AMAT) Centris AdvantEdge G5 Mesa T2 Poly Centris AdvantEdge G5 Mesa T2 Poly Polysilicon Etch

AMAT 0100-02324 0100-02324 ASSY PCB CHAMBER I/O DIST, 300MM SICON-PRO , NEW

RIKEN KEIKI TAN-580 TAN-580 Alarm Buzzer, USED

Hitachi (Semiconductor) CG4000 CG4000 SEM - Critical Dimension (CD) Measurement

Kokusai Quixace II ALD Nitride Quixace II ALD Nitride Vertical Furnace

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

AMAT 0100-02784 0100-02784 PCB ASSY, MOCVD CDS INTERLOCK I/O DISTRI , NEW

RKC INSTRUMENT CVM-5A CVM-5A FAREX SR MiNi SYSTEM, USED

(AMAT) Producer GT APF Producer GT APF PECVD (Chemical Vapor Deposition)

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

AMAT 0100-09251 0100-09251 Seriplex PCB SPX-MUXADIO01, USED

RKC REX-B879-CS2 REX-B879-CS2 POWER SUPPLY 24VDC 0.35AMP 0-200VAC , USED

Zygo Corp. AutoKMS-100 AutoKMS-100 Reticle CD SEM

(AMAT) Reflexion - Dielectric Reflexion - Dielectric Dielectric CMP

Tokyo Electron Ltd. (TEL) Trias EX-II Plus HT Ti/TiN Trias EX-II Plus HT Ti/TiN Metal CVD (Chemical Vapor Deposition)

AMAT 0140-01821 0140-01821 HARNESS, PNEUMATIC LWR ELECTRONICS, PRES, NEW

SCHAFFNER FN350-12-29 FN350-12-29 FILTER 1-PHASE 12A FOR DRIVES, USED

To Be Added To Be Added To Be Added To Be Added

(AMAT) Reflexion LK Oxide Reflexion LK Oxide Dielectric CMP

Accretech/TSK UF3000EX UF3000EX Production Wafer Prober

AMAT 0140-04294 0140-04294 HARNESS ASSY, MEGASONIC POLYLINE 200MM CLEANER, NEW

setra 2671025LD11G2HD 2671025LD11G2HD Differential Pressure Transducers 24 VDC

Kokusai Quixace II ALD Nitride Quixace II ALD Nitride Vertical Furnace

Hermes Microvision (HMI) eScan 320 eScan 320 E-beam Inspection

Varian Semiconductor Equipment Associates (VSEA) VIISta PLAD VIISta PLAD High Dose Implant

AMAT 0140-06710 0140-06710 300mm Mainframe Cable Assembly, NEW

SIEMENS 6ES5-422-8MA11 6ES5-422-8MA11 DIGITAL INPUT MODULE

Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal ALPHA-303i Anneal Vertical Furnace

Hermes Microvision (HMI) eScan 320 eScan 320 E-beam Inspection

Varian Semiconductor Equipment Associates (VSEA) VIISta PLAD VIISta PLAD High Dose Implant

AMAT 0140-75171 0140-75171 H/A AC PWR 5 PHASE DRIVER TO PCB, USED

SIEMENS 6ES5931-8MD11 6ES5931-8MD11 POWER SUPPLY

Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS ALPHA-303i TEOS Vertical Furnace

ASML TWINSCAN AT:400 TWINSCAN AT:400 i-Line Scanner

Mattson Technology, Inc. Aspen III ICPHT Aspen III ICPHT Stripper/Asher

AMAT 0140-77001 0140-77001 CNTRL BKHD CAROUSEL J1, USED

SIEMENS KSP-M44-A66 KSP-M44-A66 MACHINE CONTROL CPU BOARD C8451-A46-A4-9

Cascade S300 S300 Engineering Wafer Prober

LAM Research 2300 Coronus 2300 Coronus Wafer Edge Cleaning - Plasma

Mattson Technology, Inc. Aspen III ICPHT Aspen III ICPHT Stripper/Asher

AMAT 0140-77013 0140-77013 H/A PAD3, DVRS-CONT BULKHD COND & SWP, P, USED

SMC AR20-01EH-R AR20-01EH-R REGULATOR 0.05~0.85MPa , USED

(AMAT) Centura AP AdvantEdge G5 Mesa Poly Centura AP AdvantEdge G5 Mesa Poly Polysilicon Etch

LAM Research 2300 Coronus 2300 Coronus Wafer Edge Cleaning - Plasma

Mattson Technology, Inc. Aspen III ICPHT Aspen III ICPHT Stripper/Asher

AMAT 0140-77014 0140-77014 H/A PAD2, DVRS-CONT BULKHD COND & SWP, P, USED

SMC INR-244-323-X38 INR-244-323-X38 THERMO-CON CHILLER CONTROLLER MATTSON

Kokusai Quixace II ALD Nitride Quixace II ALD Nitride Vertical Furnace

Tokyo Electron Ltd. (TEL) TELINDY ALDOX TELINDY ALDOX Vertical Furnace

Tokyo Electron Ltd. (TEL) Trias EX-II Plus HT Ti/TiN Trias EX-II Plus HT Ti/TiN Metal CVD (Chemical Vapor Deposition)

AMAT 0140-77015 0140-77015 H/A PAD1, DVRS-CONT BULKHD COND & SWP, P, USED

SMC IRV2000-02 IRV2000-02 VACUUM REGULATOR, USED

Kokusai Quixace II CURE Quixace II CURE Vertical Furnace

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

(AMAT) Reflexion LK Oxide Reflexion LK Oxide Dielectric CMP

AMAT 0140-77026 0140-77026 HARNESS PLATEN, MTRS-, USED

SMC ITV2050-402S-X80 ITV2050-402S-X80 Electropneumatic Air Pressure Regulator, USED

Nordson Asymtek S920N S920N Adhesive Dispenser

Kokusai Quixace II ALD Nitride Quixace II ALD Nitride Vertical Furnace

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)

AMAT 0140-77028 0140-77028 CNTRL BKHD HEAD SWEEP, USED

SMC SX3140-5MZ-X65 SX3140-5MZ-X65 VALVE SOL, USED

X-Tek Orbita 160Xi Orbita 160Xi X-Ray Inspection System

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Nikon NSR-S308F NSR-S308F 193nm (ArF) Scanner

AMAT 0140-77051 0140-77051 PAD CONDITION3, MP6-DVRS 14 & 15, USED

SMC SX3440-5MZ-X65 SX3440-5MZ-X65 VALVE SOL, USED

Micromanipulator (MM) P300J P300J Engineering Wafer Prober

(AMAT) Quantum II Quantum II Low/Ultra Low Energy Implanter

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

AMAT 0140-77054 0140-77054 HD SWP CP25-DVR 16,17,18,19, USED

SMC SX5140R-5MZ SX5140R-5MZ VALVE SOL, USED

Struers Hexamatic Hexamatic Semi-Automatic Specimen Polisher

Tokyo Electron Ltd. (TEL) P-8LC P-8LC Production Wafer Prober

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

AMAT 0140-77074 0140-77074 CABLE, CONTROL, BP DIO, USED

SMC SX-5440-5LOZ-X22 SX-5440-5LOZ-X22 VALVE SOL, USED

Thermo (Fisher) Scientific MK.4TE MK.4TE ESD Simulator / Gun

Nikon NSR-S308F NSR-S308F 193nm (ArF) Scanner

Tokyo Electron Ltd. (TEL) Expedius+ Expedius+ Batch Wafer Processing

AMAT 0140-77075 0140-77075 CABLE, LIGHT TOWER, PCB, USED

SMC VXA2232J VXA2232J AIR OPERATED VALVE

Thermo (Fisher) Scientific Orion2 Orion2 ESD Simulator / Gun

Kokusai Quixace II Anneal Quixace II Anneal Vertical Furnace

Tokyo Electron Ltd. (TEL) Tactras Vigus RK3 Tactras Vigus RK3 Dielectric Etch

AMAT 0140-77105 0140-77105 PLATEN 1,PWR DVR-CNTRL BKHD, USED

SMC ZL112-DBH00005 ZL112-DBH00005 MULTISTAGE EJECTOR

KLA-Tencor Corp. AIT UV AIT UV Darkfield Inspection

Kokusai Quixace II Anneal Quixace II Anneal Vertical Furnace

Tokyo Electron Ltd. (TEL) Tactras Vigus RK3 Tactras Vigus RK3 Dielectric Etch

AMAT 0140-77106 0140-77106 PLATEN 2,PWR DVR-CNTRL BKHD, USED

Sun Microsystems 501-6635-06 501-6635-06 Dual Gigabit Ethernet SCSI Network Adapter Card

Tokyo Electron Ltd. (TEL) P-12XLn+ P-12XLn+ Production Wafer Prober

Verigy (Agilent) V4400 V4400 Memory Tester

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

AMAT 0140-77107 0140-77107 PLATEN 3,PWR DVR-CNTRL BKHD, USED

Swagelok SS-QC6-D-6PB SS-QC6-D-6PB QUICK CONNECTOR, NEW

(AMAT) Centura AP AdvantEdge G5 Metal Centura AP AdvantEdge G5 Metal Metal Etch

Keysight / Agilent / Hewlett-Packard (HP) 4072B 4072B Parametric Tester

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

AMAT 0140-77115 0140-77115 DC RBT DVRS-PANEL, USED

TAKEX SS20-TL40 AND SS20-TR40 SS20-TL40 AND SS20-TR40 WIDE SENSOR

Kokusai Quixace Ultimate ALD SiN Quixace Ultimate ALD SiN Vertical Furnace

Keysight / Agilent / Hewlett-Packard (HP) 4072B 4072B Parametric Tester

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

AMAT 0140-77116 0140-77116 H/A, EMO CNTRL BULKHEAD, USED

TDK ZRAC2206-11 ZRAC2206-11 NOISE FILTER, 250V~6A, 50/61Hz, USED

Keysight / Agilent / Hewlett-Packard (HP) 4073A 4073A Parametric Tester

Keysight / Agilent / Hewlett-Packard (HP) 4072B 4072B Parametric Tester

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

AMAT 0140-77132 0140-77132 HD ROT 1 PWR, DVR-CNTRL, USED

TDK ZRAC2210-11 ZRAC2210-11 NOISE FILTER, 250V~10A, 50/60Hz, USED

Keysight / Agilent / Hewlett-Packard (HP) 4073A 4073A Parametric Tester

Keysight / Agilent / Hewlett-Packard (HP) 4072B 4072B Parametric Tester

SELA Ltd. MC200 MC200 Wafer Cleaver

AMAT 0140-77133 0140-77133 HD ROT 2 PWR, DVR-CNTRL, USED

TDK ZRCT5100-MF ZRCT5100-MF EMC FILTER, USED

(AMAT) Centura AP ASP II - Chamber Only Centura AP ASP II - Chamber Only Metal Etch

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Accretech/TSK UF3000EX UF3000EX Production Wafer Prober

AMAT 0140-77181 0140-77181 CABLE, LOWER PNEUMATICS,

TDK ZRCT5250-MF ZRCT5250-MF EMC FILTER, USED

Keysight / Agilent / Hewlett-Packard (HP) 4073A 4073A Parametric Tester

(AMAT) ComPLUS MP ComPLUS MP Darkfield Inspection

Accretech/TSK UF3000EX UF3000EX Production Wafer Prober

AMAT 0140-77218 0140-77218 CABLE,PLTFRM BKHD SLURRY, USED

TELEMECANIQUE CA3KN 31BD3 CA3KN 31BD3 CONTROL RELAY CONTACTOR, USED

Alcatel A1803H A1803H Dry Pump

(AMAT) ComPLUS MP ComPLUS MP Darkfield Inspection

Accretech/TSK UF3000EX UF3000EX Production Wafer Prober

AMAT 0140-77219 0140-77219 HARNESS, DUAL SLURRY ANALOG DRIVER TO BA, USED

TELEMECANIQUE CA3KN 31BD3 CA3KN 31BD3 CONTROL RELAY CONTACTOR, USED

Toyota Industries Corporation T100L T100L Dry Pump

(AMAT) Centura AP DPS AdvantEdge G2 Metal Centura AP DPS AdvantEdge G2 Metal Metal Etch

Accretech/TSK UF3000EX UF3000EX Production Wafer Prober

AMAT 0140-77302 0140-77302 HARNESS COVER SWITCH INTLK BP-CONT BLKHD, USED

TELEMECANIQUE LA1KN31 LA1KN31 CONTACT BLOCK, USED

Entegris KA198-80M KA198-80M Wafer Cassette

Nikon AMI-3000 AMI-3000 Macro-Defect

Accretech/TSK UF3000EX UF3000EX Production Wafer Prober

AMAT 0140-77397 0140-77397 CBL,EXHAUST SWITCH, USED

TELEMECANIQUE LA1KN31 LA1KN31 CONTACT BLOCK, USED

GCA Tropel Autosort Mark II 150 Autosort Mark II 150 Flatness Measurement

(AMAT) Centura AP AdvantEdge G5 Mesa Poly Centura AP AdvantEdge G5 Mesa Poly Polysilicon Etch

Accretech/TSK UF3000EX UF3000EX Production Wafer Prober

AMAT 0140-77420 0140-77420 BURKERT VAVLE CABLE,MEMBRANE, NEW

TELEMECANIQUE LA1KN40 LA1KN40 CONTACT BLOCK, USED

SCREEN PRINTING ENTERPRISES, INC. LPEH1-30/10 LPEH1-30/10 Bake Oven

Kokusai Quixace II Anneal Quixace II Anneal Vertical Furnace

Accretech/TSK UF3000EX UF3000EX Production Wafer Prober

AMAT 0140-77472 0140-77472 BURKERT VAVLE CABLE, RETAINER RING, NEW

TELEMECANIQUE LA1KN40 LA1KN40 CONTACT BLOCK, USED

Estek Automation SDN BHD WIS-800D WIS-800D Broadband Patterned Wafer Defect Inspection

(AMAT) Centura 5200 Ti/TiN MCVD Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition)

Accretech/TSK UF3000EX UF3000EX Production Wafer Prober

AMAT 0140-77473 0140-77473 PLATEN 1,CAROUSEL MP7-DVRS 6&9, USED

TELEMECANIQUE LC1D256BL LC1D256BL CONTACTOR, USED

Ebara FREX300S Poly/STI FREX300S Poly/STI Poly/STI CMP

Estek Automation SDN BHD WIS-800D WIS-800D Broadband Patterned Wafer Defect Inspection

(AMAT) Centura 5200 Ti/TiN MCVD Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition)

Tokyo Electron Ltd. (TEL) TELINDY ALDOX TELINDY ALDOX Vertical Furnace

AMAT 0140-77491 0140-77491 E/P REGULATOR CABLE, RETAINER RING, NEW

TELEMECANIQUE LC1D256BL LC1D256BL CONTACTOR, USED

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

Estek Automation SDN BHD WIS-800D WIS-800D Broadband Patterned Wafer Defect Inspection

Micro-Vu VERTEX 420 VERTEX 420 Confocal Vision System

Tokyo Electron Ltd. (TEL) NT333 NT333 ALD (Atomic Layer Deposition)

AMAT 0140-77492 0140-77492 E/P REGULATOR CABLE, INNER TUBE, NEW

TELEMECANIQUE LC1D386BL LC1D386BL CONTACTOR, USED

Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 CLEAN TRACK ACT 12 Single Block (Resist Coater/Developer)

ADE Corporation Microsense 6033 Microsense 6033 Wafer Characterization

Akrometrix, LLC AXP AXP Thermal Warpage & Strain

Tokyo Electron Ltd. (TEL) NT333 NT333 ALD (Atomic Layer Deposition)

AMAT 0140-77559 0140-77559 HARNESS, DRIVES DC POWER, USED

TELEMECANIQUE LC1D386BL LC1D386BL CONTACTOR, USED

SEZ Group DV-34 DV-34 Single Wafer Processing

Estek Automation SDN BHD WIS-900 WIS-900 Broadband Patterned Wafer Defect Inspection

Hitachi (Semiconductor) CG4000 CG4000 SEM - Critical Dimension (CD) Measurement

Tokyo Electron Ltd. (TEL) TELINDY Plus IRad process TBD TELINDY Plus IRad process TBD Vertical Furnace

AMAT 0140-78470 0140-78470 HARNESS, ADPATER CABLE, DIRECT DELIVERY, USED

TELEMECANIQUE LP1K06 10BD3 LP1K06 10BD3 Contactor Starter, USED

Canon FPA-5500 iZ+ FPA-5500 iZ+ i-Line Wide-Field Stepper

Thermo Scientific UFP5030A UFP5030A Freezers

Bluefors Oy BF-XLD400 BF-XLD400 Cryogenic system

LAM Research 2300e6 KIYO FX 2300e6 KIYO FX Polysilicon Etch

AMAT 0150-35156 0150-35156 C/A, AC TO FANS 5 PHASE DRIVER, USED

TELEMECANIQUE LP1K06 10BD3 LP1K06 10BD3 Contactor Starter, USED

Aviza Technology, Inc. RVP-300 RVP-300 Vertical Furnace

MicroTure Machine Tool Corp. 4 Sigma Wafer Polisher 4 Sigma Wafer Polisher Wafer Polisher

Advantest MPT3000HVM Gen 3 MPT3000HVM Gen 3 SSD Test System

LAM Research 2300e6 Exelan Flex FX 2300e6 Exelan Flex FX Dielectric Etch

AMAT 0150-76515 0150-76515 CABLE ASSY CHAMBER DIO PWR DIS FROM SEB, USED

TELEMECANIQUE LP1K12 10BD3 LP1K12 10BD3 CONTACTOR, USED

Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal ALPHA-303i Anneal Vertical Furnace

R. Howard Strasbaugh Fine Polisher Fine Polisher Wafer Polisher

Hitachi (Semiconductor) CG4000 CG4000 SEM - Critical Dimension (CD) Measurement

Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal ALPHA-303i Anneal Vertical Furnace

AMAT 0150-77202 0150-77202 CABLE, PLATEN ENCODER, CNTRLR ADAPT 75FT, USED

TELEMECANIQUE LP1K12 10BD3 LP1K12 10BD3 CONTACTOR, USED

Tokyo Electron Ltd. (TEL) Telius 305 SCCM Telius 305 SCCM Dielectric Etch

Semitool Inc. 270-ST 270-ST Spin Rinse / Dryer (SRD)

Kokusai Quixace II Nitride Quixace II Nitride Vertical Furnace

LAM Research 2300e5 KIYO FX 2300e5 KIYO FX Polysilicon Etch

AMAT 0190-01965 0190-01965 DC POWER SUPPLY, 300MM CHAMBER LAMBDA VA-26603 , USED

TOKYO ELECTRON 1808-022003-11 1808-022003-11 OPERATOR I/F BOARD 1881-022003-1D, USED

ASM International A412 POLY A412 POLY Vertical Furnace

Strasbaugh 6DS-SP 6DS-SP Multi-Process CMP

Mattson Technology, Inc. Aspen III ICPHT Aspen III ICPHT Stripper/Asher

LAM Research 2300e5 KIYO FX 2300e5 KIYO FX Polysilicon Etch

AMAT 0190-04735 0190-04735 EPI 300MM ANALOG CARD CDN496 , USED

TOKYO ELECTRON 1881-022015-15 1881-022015-15 RF CONT BOARD 2, USED

(AMAT) Producer SE SACVD Producer SE SACVD SACVD (Chemical Vapor Deposition)

Thermo Scientific PlasmaQuad XS PlasmaQuad XS Spectrometry

Brooks Automation, Inc. MTX 4000 MTX 4000 Wafer Sorter

LAM Research 2300e6 KIYO FX 2300e6 KIYO FX Polysilicon Etch

AMAT 0190-14247 0190-14247 GAUGE PRESS-1 TO. 7MPA/30″HG-100PSI 21N, NEW

TOKYO ELECTRON 2L81-050032-11 2L81-050032-11 PCB BOARD, USED

(AMAT) Raider ECD_ Raider ECD_ ECD (Electro Chemical Deposition)

Nikon MICROSCOPE MICROSCOPE Microscope

Brooks Automation, Inc. MTX2000 MTX2000 Wafer Sorter

Dainippon Screen Mfg. Co. (DNS) SS-3000-AR SS-3000-AR Wafer Scrubber

AMAT 0190-15389 0190-15389 10 PORT MANIFOLD, FACILITIES SLURRY/CHEM, NEW

TOKYO ELECTRON 3809-221392-11 3809-221392-11 FORMULA GAS FLOW PANEL , USED

Tokyo Electron Ltd. (TEL) Triase+ Ti/TiN Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition)

Nikon UM-2 UM-2 Microscope

KLA-Tencor Corp. Archer 500 Archer 500 Overlay Measurement System

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

AMAT 0190-16633 0190-16633 TWO CHANNEL MAGNET DRIVER SPECIFICATION, NEW

TOKYO ELECTRON 3809-320134-11 3809-320134-11 FORMULA GAS FLOW PANEL , USED

Tokyo Electron Ltd. (TEL) Expedius+ Expedius+ Batch Wafer Processing

MTI Instruments, Inc. PROFORMA 300 PROFORMA 300 Flatness Measurement

ASML YieldStar S-250 YieldStar S-250 Overlay Measurement System

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

AMAT 0190-19008 0190-19008 SPECIFICATION FOR UHP POLYMER FOUR VALVE, NEW

TOKYO ELECTRON 3880-200112-11 3880-200112-11 FORMULA TTLD10-12 F-DI_32 PCB , USED

Dainippon Screen Mfg. Co. (DNS) FC-3000 FC-3000 Batch Wafer Processing

A-B Lasers Inc. (Rofin) Waferlase Compact Waferlase Compact Laser Scribe

KLA-Tencor Corp. Archer 500 Archer 500 Overlay Measurement System

Kokusai Quixace II Poly Quixace II Poly Vertical Furnace

AMAT 0190-19374 0190-19374 LAMP 120V 2100W LP, NEW

TOKYO ELECTRON 3880-200113-11 3880-200113-11 TTLD11-12 F-DO_32 PCB, USED

Axcelis Technologies Inc. Radiantstrip 320 Radiantstrip 320 Stripper/Asher

Estek Automation SDN BHD WIS-800D WIS-800D Broadband Patterned Wafer Defect Inspection

KLA-Tencor Corp. Archer 500 Archer 500 Overlay Measurement System

(AMAT) Reflexion LK Oxide Reflexion LK Oxide Dielectric CMP

AMAT 0190-26873 0190-26873 CARD MOTION DELTA TAU PMAC2 CPU 160MHZ FW , USED

TOKYO ELECTRON 3880-200114-11 3880-200114-11 FORMULA TTLD12-12 F-D0_32RLY PCB , USED

Tokyo Electron Ltd. (TEL) Tactras Vigus Tactras Vigus Dielectric Etch

Estek Automation SDN BHD WIS-800D WIS-800D Broadband Patterned Wafer Defect Inspection

Nova Measuring Instruments, Inc. NovaScan 3090 Next SA NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM)

Muratec Murata Machinery, Ltd. SRC330 SRC330 Wafer Stocker

AMAT 0190-27040 0190-27040 MKS PROCESS SENSOR W/KF50 FLANGE, NEW

TOKYO ELECTRON 3881-02009-11 3881-02009-11 FORMULA TTLA07-11 F-MFC_16 PCB , USED

(AMAT) Producer SE PECVD SILANE Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition)

Estek Automation SDN BHD WIS-600B WIS-600B Broadband Patterned Wafer Defect Inspection

Ulvac Corporation Entron-EX: Aluminum Interconnect Entron-EX: Aluminum Interconnect PVD (Physical Vapor Deposition)

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

AMAT 0190-A1910 0190-A1910 PNEUMATIC CONTROLLERCASSETTE INTERFACE, NEW

TOKYO ELECTRON 3884-200004-11 3884-200004-11 FORMULA TTLD13-11 FIMS-F PCB , USED

Tokyo Electron Ltd. (TEL) Telius SP-305 SCCM Telius SP-305 SCCM Dielectric Etch

A-B Lasers Inc. (Rofin) Waferlase Waferlase Laser Scribe

Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal ALPHA-303i Anneal Vertical Furnace

(AMAT) Centura AP eMax CT Centura AP eMax CT Dielectric Etch

AMAT 0195-04790 0195-04790 LAMPHEAD, COOLWAVE, CW-610, REMOTE BLOWE, NEW

TOKYO ELECTRON 3884-200168-12 3884-200168-12 FORMULA 3881-020012-11 TTLD10-11 F-DI_32 PCB

(AMAT) Centura AP AdvantEdge G5 Mesa T2 Poly Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch

GSI Lumonics WaferMark 200HS WaferMark 200HS Laser Scribe

Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Telius SP 305 DRM Dielectric Etch

(AMAT) Producer SE SACVD Producer SE SACVD SACVD (Chemical Vapor Deposition)

AMAT 0200-00403 0200-00403 ISOLATOR PUMPING RING, MIDDLE, PRODUCER, NEW

TOKYO ELECTRON 3884-200171-12 3884-200171-12 FORMULA TTLB19-11 BUS_CNN_E1 PCB , USED

(AMAT) Centura AP AdvantEdge G5 Poly Centura AP AdvantEdge G5 Poly Polysilicon Etch

FTS Systems (SP Scientific) RC311GLAM RC311GLAM Chiller/Heat Exchanger

SEZ Group SP203 SP203 Single Wafer Processing

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

AMAT 0200-02528 0200-02528 BLADE, QUARTZ, DUAL BLADE ROBOT, TYPE 3, NEW

TOKYO ELECTRON 3884-200172-11 3884-200172-11 FORMULA 3881-020021-11 TTLD12-11 F-D0_32RLY PCB

(AMAT) Centura AP DPS II Polysilicon Centura AP DPS II Polysilicon Polysilicon Etch

Advanced Energy ICP 20P ICP 20P Power Supply

Nikon N-SISV R N-SISV R Optical Review System

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

AMAT 0200-02542 0200-02542 RING INSULATOR STEPPED PRODUCER ETCH, NEW

TOKYO ELECTRON 3884-200261-11 3884-200261-11 FORMULA TTLD14-12 WCIF-L PCB , USED

(AMAT) Centura AP DPS II Polysilicon Centura AP DPS II Polysilicon Polysilicon Etch

Zeiss UMSP 80 UMSP 80 Spectrophotometer

Tokyo Electron Ltd. (TEL) CLEAN TRACK MARK V CLEAN TRACK MARK V Single Block (Resist Coater/Developer)

Tokyo Electron Ltd. (TEL) ALPHA-303i TEOS ALPHA-303i TEOS Vertical Furnace

AMAT 0200-02873 0200-02873 200MM GDP PALTE, WORKING

TOKYO ELECTRON 3884-200353-11 3884-200353-11 FORMULA TTLB17-11 BUS_CNN_C2 PCB , USED

Ushio UMA-2003 UMA-2003 UV Cure System

KLA-Tencor Corp. Ultrapointe 1000 Ultrapointe 1000 Optical Review System

IPEC 372M 372M Multi-Process CMP

Tokyo Electron Ltd. (TEL) TELFORMULA Nitride TELFORMULA Nitride Vertical Furnace

AMAT 0200-05711 0200-05711 COVER RING, MC HIGH PROFILE LOCKING ULT, NEW

TOKYO ELECTRON 3884-200930-12 3887-211246-12 3884-200930-12 3887-211246-12 FORMULA ASSY, HTR PWR_CTRL , USED

(AMAT) Reflexion LK Copper Reflexion LK Copper Copper CMP

Strasbaugh 6DS-SP 6DS-SP Multi-Process CMP

Bruce Technology International BDF-41 BDF-41 Horizontal Furnace

(AMAT) Centura AP DPS AdvantEdge G2 Metal Centura AP DPS AdvantEdge G2 Metal Metal Etch

AMAT 0200-20059 0200-20059 PA INSULATOR 5″ SMRMF PC Ⅱ, NEW

TOKYO ELECTRON 3895-120318-11 3895-120318-11 FORMULA TTLM12-11 BMIF PCB , USED

Nova Measuring Instruments, Inc. NovaScan 3090 Next SA NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM)

Amray, Inc. 2030 2030 SEM - Defect Review (DR)

Hitachi (Semiconductor) CG5000 CG5000 SEM - Critical Dimension (CD) Measurement

(AMAT) Centura AP DPS AdvantEdge G2 Metal Centura AP DPS AdvantEdge G2 Metal Metal Etch

AMAT 0200-35335 0200-35335 RING,CAPTURE,ESC,195MM,FLAT,DPS CHAMBER, NEW

TOKYO ELECTRON 3895-120320-11 3895-120320-11 FORMULA TTLI22-11 MCIL-SL PCB , USED

Semitool Inc. Raider ECD310 Raider ECD310 ECD (Electro Chemical Deposition)

Dainippon Screen Mfg. Co. (DNS) FS-820L FS-820L Batch Wafer Processing

LAM Research Alliance (A6) TCP 9400DSiE Alliance (A6) TCP 9400DSiE Polysilicon Etch

(AMAT) Centura AP AdvantEdge G5 Metal Centura AP AdvantEdge G5 Metal Metal Etch

AMAT 0240-04232 0240-04232 ASSY, TTW MONITOR W/LIGHT PEN & 12FT VID, NEW

TOKYO ELECTRON 3895-120321-12 3895-120321-12 FORMULA TTLM10-11 ELVIF-F PCB , USED

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Strasbaugh 6DS-SP 6DS-SP Multi-Process CMP

Nordson Asymtek S920N S920N Adhesive Dispenser

(AMAT) Centura AP DPS AdvantEdge G2 Poly Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch

AMAT 0240-29122 0240-29122 KIT, DEVICE NET METER, NEW

TOKYO ELECTRON 3895-120322-11 3895-120322-11 FORMULA TTLM11-11 W/TIF-L PCB , USED

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Strasbaugh 6DS-SP 6DS-SP Multi-Process CMP

Nordson Asymtek S920N S920N Adhesive Dispenser

(AMAT) Centura AP DPS AdvantEdge G2 Metal Centura AP DPS AdvantEdge G2 Metal Metal Etch

AMAT 0270-00689 0270-00689 INSTALL, TOOL COLLAR, 300MM HDPCVD,NEW

TOKYO ELECTRON 3895-120355-14 3880-200040-14 3895-120355-14 3880-200040-14 FORMULA TTLI21-11 F-MASTER_2 PCB

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Strasbaugh 6DS-SP 6DS-SP Multi-Process CMP

Nordson Asymtek S920N S920N Adhesive Dispenser

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)

AMAT 0270-00734 0270-00734 HANDLE THROTTLE VALVE HDP-CVD, ULTIMA, NEW

TOKYO ELECTRON 3895-120355-15 3895-120355-15 FORMULA TTLI21-11 F-MASTR_2 PCB , USED

(AMAT) Centura AP DPS II Polysilicon Centura AP DPS II Polysilicon Polysilicon Etch

Strasbaugh 6DS-SP 6DS-SP Multi-Process CMP

JUKI Automation Systems GmbH CX-1 CX-1 SMT Mounter (Pick and Place)

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Pro CLEAN TRACK LITHIUS Pro Multi Block (Resist Coater/Developer)

AMAT 0270-00735 0270-00735 CALIBRATION TOOL ROBOT, 300MM HDPCVD, UL, NEW

TOKYO ELECTRON 3895-120916-11 3895-120916-11 FORMULA TTLA07-13 F-MFC_16 PCB , USED

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Strasbaugh 6DS-SP 6DS-SP Multi-Process CMP

TBD Furnace Tube Cart Furnace Tube Cart Quartz Tube Cart

Tokyo Electron Ltd. (TEL) Cellcia Cellcia Production Wafer Prober

AMAT 0270-00737 0270-00737 SPANNER WAFER TEMP PROBE ULTIMA HDP-CVD, NEW

TOKYO ELECTRON 3D10-100796-12 3D10-100796-12 PLATE BASE , NEW

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

ASM International A600 LPCVD-OXIDE A600 LPCVD-OXIDE Vertical Furnace

Tokyo Electron Ltd. (TEL) ALPHA-303i Oxide ALPHA-303i Oxide Vertical Furnace

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Pro CLEAN TRACK LITHIUS Pro Multi Block (Resist Coater/Developer)

AMAT 0270-00739 0270-00739 INSTALL TOOL CATHODE ASSEMBLY, 300MM HDP, NEW

TOKYO ELECTRON 3D10-100845-11 3D10-100845-11 PLATE EXHAUST Y-AL SE

Tokyo Electron Ltd. (TEL) Expedius+ Expedius+ Batch Wafer Processing

Strasbaugh 6DS-SP 6DS-SP Multi-Process CMP

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS COAT ONLY CLEAN TRACK LITHIUS COAT ONLY Coat only Track

Accretech/TSK UF3000EX UF3000EX Production Wafer Prober

AMAT 0270-00742 0270-00742 PUSHROD, 300MM HDP-CVD, ULTIMA, LOT 2, NEW

TOKYO ELECTRON 3D10-202405-13 3D10-202405-13 LOWER INSULATOR , 2ND NEW

Tokyo Electron Ltd. (TEL) Expedius+ Expedius+ Batch Wafer Processing

Strasbaugh 6DS-SP 6DS-SP Multi-Process CMP

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Pro CLEAN TRACK LITHIUS Pro Multi Block (Resist Coater/Developer)

AMAT 0270-00772 0270-00772 GAGE, LIFT STOP LIFT ASSY, 300MM HDP-CVD, NEW

TOKYO ELECTRON E280-000022-13 E280-000022-13 DIGITAL GRAPHIC TOUCH PANEL UT3-TLN7-A , USED

Tokyo Electron Ltd. (TEL) TELFORMULA Nitride TELFORMULA Nitride Vertical Furnace

Strasbaugh 6DS-SP 6DS-SP Multi-Process CMP

ASM Pacific Technology (ASMPT) NUCLEUS XP NUCLEUS XP Wafer Substrate Bonding

Accretech/TSK UF3000EX UF3000EX Production Wafer Prober

AMAT 0270-00860 0270-00860 CATHODE STAND,NEW

TOKYO ELECTRON EC80-000173-21 TEB111-FPD4 EC80-000173-21 TEB111-FPD4 TEL FORMULA LPCVD PCB

Tokyo Electron Ltd. (TEL) TELFORMULA Nitride TELFORMULA Nitride Vertical Furnace

Strasbaugh 6DS-SP 6DS-SP Multi-Process CMP

ASML TWINSCAN NXT:2000i TWINSCAN NXT:2000i Immersion Scanner

Accretech/TSK UF3000EX UF3000EX Production Wafer Prober

AMAT 0270-02883 0270-02883 BLANK OFF, BLOCK GAS FEED, HDPCVD, NEW

TOKYO ELECTRON FORMULA CERAMIC ROBOT BLADE , USED FORMULA CERAMIC ROBOT BLADE , USED FORMULA CERAMIC ROBOT BLADE , USED

Tokyo Electron Ltd. (TEL) TELFORMULA Nitride TELFORMULA Nitride Vertical Furnace

Dainippon Screen Mfg. Co. (DNS) WS-820C WS-820C Batch Wafer Processing

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Pro Z-i CLEAN TRACK LITHIUS Pro Z-i Multi Block (Resist Coater/Developer)

Tokyo Electron Ltd. (TEL) Telius 305 DRM Telius 305 DRM Dielectric Etch

AMAT 0270-03126 0270-03126 ROBOT ALIGNMENT TOOL, 1.88L, 300MM, NEW

TOKYO KEISO VF-3012-P01 VF-3012-P01 FLOW SENSOR, USED

Tokyo Electron Ltd. (TEL) TELFORMULA Nitride TELFORMULA Nitride Vertical Furnace

Strasbaugh 6DS-SP 6DS-SP Multi-Process CMP

Accretech/TSK UF3000 UF3000 Production Wafer Prober

Tokyo Electron Ltd. (TEL) Telius 305 DRM Telius 305 DRM Dielectric Etch

AMAT 0270-18001 0270-18001 PRESS, LOCATING PIN, LOT 2, NEW

TURCK JBBS-57-E811 JBBS-57-E811 DEVICENET HUB WITH 8 EUROFAST PORTS , USED

(AMAT) Centura AP AdvantEdge G5 Poly Centura AP AdvantEdge G5 Poly Polysilicon Etch

Estek Automation SDN BHD WIS-600B WIS-600B Broadband Patterned Wafer Defect Inspection

Accretech/TSK UF3000 UF3000 Production Wafer Prober

Tokyo Electron Ltd. (TEL) Telius 305 DRM Telius 305 DRM Dielectric Etch

AMAT 0690-01767 0690-01767 CLAMP SPLIT & SCREW 1/2 SHAFT SIZE .562, NEW

USHIO DXW 120v-1000WC5 DXW 120v-1000WC5 Halogen Lamps,NEW

ESCO Ltd. EMD-WA1000S EMD-WA1000S Temperature Desorption Analyzer

Amray, Inc. 2030 2030 SEM - Defect Review (DR)

Accretech/TSK UF3000 UF3000 Production Wafer Prober

Accretech/TSK FP3000 FP3000 Wafer Frame Prober

AMAT 0720-01173 0720-01173 CONN CABLE CLAMP CIRC W/BSHG SHELL, NEW

Varian E15008810 E15008810 PCB Board, USED

(AMAT) Centura SiNgen Chamber Centura SiNgen Chamber LPCVD

Tektronix 177 177 Curve Tracer

Accretech/TSK UF3000 UF3000 Production Wafer Prober

Accretech/TSK FP3000 FP3000 Wafer Frame Prober

AMAT 0720-04000 0720-04000 SPCR,IDILER PULLY BEAR,LONG ROBOT, NEW

VAT 02009-ZA024 02009-ZA024 Rectangular Gate Slit Valve Monovat , NEW

Veeco Instruments Inc. Dimension 7000 Dimension 7000 Atomic Force Microscope (AFM)

Poly-Flow Engineering S-422 S-422 Quartz Tube Cleaner

Accretech/TSK UF3000 UF3000 Production Wafer Prober

Accretech/TSK FP3000 FP3000 Wafer Frame Prober

AMAT 0720-04128 0720-04128 CONNDNET TRUNK TEE 5 POS CIRC 7/8-16 RKM, NEW

WAGO 285-197 285-197 Grey Terminal Block 1 Pole, USED

Leica Inc. INS10 INS10 Microscope

Amray, Inc. 3800c 3800c SEM - Defect Review (DR)

Varian Semiconductor Equipment Associates (VSEA) VIISta HCP+ VIISta HCP+ High Current Implanter

Thermo Scientific / Heraeus UT 6 P UT 6 P Vapor Prime Oven

AMAT 0720-05145 0720-05145 CONN PLUG RTANG 5SKT 14S-5 CIR, NEW

WHITEY SS-44XS6 3- SS-44XS6 3- WAY BALL VALVES

Leica Inc. INM 20 INM 20 Microscope

Estek Automation SDN BHD WIS-850 WIS-850 Broadband Patterned Wafer Defect Inspection

Varian Semiconductor Equipment Associates (VSEA) VIISta HCP+ VIISta HCP+ High Current Implanter

NARISHIGE MF-90 MF-90 Microforge

AMAT 0870-01030 0870-01030 VACUUM EJECTOR, RETAINER RING, NEW

XP POWER DNR240PS24-ID DNR240PS24-ID POWER SUPPLY 24 VDC 240W DNR240 , USED

Nikon OPTIPHOT 200C OPTIPHOT 200C Microscope

KLA-Tencor Corp. Surfscan 7700 Surfscan 7700 Particle Measurement

Varian Semiconductor Equipment Associates (VSEA) VIISta HCP+ VIISta HCP+ High Current Implanter

BUEHLER HandiMet 2 HandiMet 2 Grinder Polisher

AMAT 1140-00514 1140-00514 PWRSP, BI-POLAR ESC, HIGH VOLTAGE, NEW

YASKAWA SGDA-04AS SGDA-04AS SERVOPACK 200V 1 PHASE 6.0AMPS , USED

JEOL JWS-7555 JWS-7555 SEM - Defect Review (DR)

Estek Automation SDN BHD WIS-900 WIS-900 Broadband Patterned Wafer Defect Inspection

Despatch Industries LCD1-51N-3 LCD1-51N-3 Cure Oven

FEI Company Helios NanoLab 400 Helios NanoLab 400 Focused Ion Beam (FIB)

AMAT 1270-90086 1270-90086 SWITCH, FLOW 1/2″ NPT,

YASKAWA SGDP-08AP SGDP-08AP SERVOPACK SERVO DRIVE , USED

Shibaura Engineering Works Ltd. CDE-300 CDE-300 Metal Etch

Estek Automation SDN BHD WIS-900 WIS-900 Broadband Patterned Wafer Defect Inspection

Dainippon Screen Mfg. Co. (DNS) SS-3000 SS-3000 Wafer Scrubber

Tokyo Electron Ltd. (TEL) NT333 NT333 ALD (Atomic Layer Deposition)

AMAT 1400-00010 1400-00010 SENSOR, LIGHT CURTAIN EMITTER, 28″, NEW

YASKAWA SGDS-04A01A SGDS-04A01A SERVOPACK 400W , USED

Novellus Systems Inc. VECTOR SOLA UV Cure VECTOR SOLA UV Cure PECVD (Chemical Vapor Deposition)

Electron Vision Corporation ElectronCure 30X ElectronCure 30X UV Cure System

Dainippon Screen Mfg. Co. (DNS) SS-3000 SS-3000 Wafer Scrubber

Tokyo Electron Ltd. (TEL) ALPHA-303i Oxide ALPHA-303i Oxide Vertical Furnace

AMAT 3030-05714 3030-05714 Tylan FC-2950 Mass Flow Controller,

YASKAWA SGMP-01U314CM SGMP-01U314CM AC SERVO MOTOR , USED

Blue M Electric Company STK-05I-E-UP55A STK-05I-E-UP55A Cure Oven

Amray, Inc. 2030 2030 SEM - Defect Review (DR)

Dainippon Screen Mfg. Co. (DNS) SS-3000 SS-3000 Wafer Scrubber

Tokyo Electron Ltd. (TEL) NT333 NT333 ALD (Atomic Layer Deposition)

AMAT 3030-15338 3030-15338 MKS FRCA-28129 CONTROLLER FLOW RATIO 500/500 1/4 VCR, D, NEW

AMAT Centura 5200 Centura 5200 2 Chamber DxZ, NBLL, PE and SACVD, top mount RPS, As Is, Excellent Condition, Refurbishment Optional

Kokusai Quixace II ALD Oxide Quixace II ALD Oxide Vertical Furnace

Cybeq Systems 6000 robot 6000 robot Robot Arm Accessories

Dainippon Screen Mfg. Co. (DNS) SS-3000 SS-3000 Wafer Scrubber

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

AMAT 3060-01782 3060-01782 PIVOT, NEW

AMAT Centura HTF Centura HTF 3 Chamber EPI, ATM or RP, Refurbished to meet OEM Tier I & II specifications, 150mm or 200mm, warranty.

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Advanced Design Lasers (ADLAS) DPY421-Q-II DPY421-Q-II Power Supply

Dainippon Screen Mfg. Co. (DNS) SS-3000 SS-3000 Wafer Scrubber

Tokyo Electron Ltd. (TEL) Telius 305 DRM Telius 305 DRM Dielectric Etch

AMAT 3300-00765 3300-00765 FTG HOSE BARB ELBOW 1/8 X 1/8 PVDF, NEW, LOT 18

AMAT Centura HTF Centura HTF 3 Chamber EPI, ATM or RP, Refurbished to meet OEM Tier I & II specifications, 150mm or 200mm, warranty.

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

Edwards E2M8 E2M8 Pump/Blower

Ebara FREX300S2 FREX300S2 Multi-Process CMP

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Resist Coater/Developer)

AMAT 3300-00891 3300-00891 FTG PIPE PLUG 1″MNPT PVC SCHED 40, LOT 10, NEW

AMAT Centura 5200 Centura 5200 3 Chamber DxZ System

Standard Research Systems SR560 — Low-noise voltage preamplifier SR560 — Low-noise voltage preamplifier Parts/Peripherals

MKS Instruments Inc. In-Line Valve In-Line Valve Parts/Peripherals

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

Nikon NSR-S308F NSR-S308F 193nm (ArF) Scanner

AMAT 3300-04113 3300-04113 FTGTBG ELBOW 90DEG 5/32T X 10-32UNF-M, NEW

AMAT Centura 5200 Centura 5200 MCVD System

Keysight / Agilent / Hewlett-Packard (HP) 4073B 4073B Parametric Tester

Tokyo Electron Ltd. (TEL) TEL Various Parts TEL Various Parts Parts/Peripherals

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

AMAT 3300-04185 3300-04185 SWIVEL ELBOW FITTING, NEW, LOT 10

AMAT Centura 5200 Centura 5200 EPI Core System, configured as required

Accretech/TSK UF3000 UF3000 Production Wafer Prober

LAM Research Lam Research Parts Lam Research Parts Parts/Peripherals

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

Accretech/TSK UF3000 UF3000 Production Wafer Prober

AMAT 3300-04783 3300-04783 FTG, PIPE PLUG 1 – 1/2″ THD, NEW

AMAT P5000 P5000 4 Chamber Delta SACVD, As Is, Excellent Condition, refurbishment optional

Advantest M6542AD M6542AD Pick & Place Memory Handler

Melles Griot 3227H-C-64 Laser 3227H-C-64 Laser Parts/Peripherals

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

Accretech/TSK UF3000 UF3000 Production Wafer Prober

AMAT 3300-05197 3300-05197 FTG TBG SPCR CLR .56ID X .81OD X .132THK SST, LOT 4, NEW

AMAT P5000 P5000 3 Chamber Etch, CVD, DxZ

PVA TePla America, Inc. PS 660 PS 660 Plasma Cleaner

Mechatronics Inc. SG200 XPO Bearing SG200 XPO Bearing Parts/Peripherals

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

Accretech/TSK UF3000 UF3000 Production Wafer Prober

AMAT 3310-01245 3310-01245 GAUGE PRESS 0-60PSI 1.5″D 1/8NPT CTR-BK, NEW

AMAT P5000 P5000 3 Chamber Metal Etch, 2 x R2 Etch, 1 x ASP, As Is, Good Condition, Refurbishment Optional

Nordson Asymtek S920N S920N Adhesive Dispenser

Verteq, Inc. ST800 ST800 Batch Wafer Processing

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

Accretech/TSK UF3000 UF3000 Production Wafer Prober

AMAT 3550-01266 3550-01266 PIN CLEVIS DBL 5MMDIAX 16MML, NEW

AMAT P5000 P5000 3 Chamber Metal Etch, 2 x R2 Etch, 1 x ASP, As Is, Good Condition, Refurbishment Optional

Nordson Asymtek S920N S920N Adhesive Dispenser

Gecko Alliance Aqua Flo XPO Aqua Flo XPO Pumps

Kokusai Quixace II Nitride Quixace II Nitride Vertical Furnace

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

AMAT 3630-01238 3630-01238 RTNR RING EXT 5MM SFT .62MM TH, NEW, LOT 3

AMAT P5000 P5000 3 Chamber MCVD

Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Telius SP 305 DRM Dielectric Etch

Teradyne, Inc. Parts Parts Parts/Options

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

AMAT 3690-01090 3690-01090 SCREW,SOCKET HEAD,8-32*1,PAD CONDITIONER, NEW, LOT 10

AMAT P5000 P5000 3 Chamber CVD - Version 3 Hot Box

(AMAT) Centura AP eMax CT Centura AP eMax CT Dielectric Etch

AMETEK, Inc. 1726 1726 Tachometer

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Canon FPA-6000 ES6 FPA-6000 ES6 248nm (KrF) Scanner

AMAT 3690-01092 3690-01092 SCR 10-32 X 3/8L,HEAD SWEEP BALL SCREW, NEW, LOT 70

AMAT P5000 P5000 2 Chamber MCVD

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

Semitool Inc. Semitool SRD Parts Semitool SRD Parts Spin Rinse / Dryer (SRD)

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Kokusai Quixace Ultimate TEOS Quixace Ultimate TEOS Vertical Furnace

AMAT 3690-01107 3690-01107 PULLEY SCREW, NEW, LOT 10

AMAT P5000 P5000 CVD System

Tokyo Electron Ltd. (TEL) Trias Ti/TiN Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)

Prometrix SM-300/SpectraMap SM-300/SpectraMap Film Thickness Measurement System

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Kokusai Quixace Ultimate TEOS Quixace Ultimate TEOS Vertical Furnace

AMAT 3690-03228 3690-03228 BUSHING SCREW, NEW, LOT 10

AMAT P5000 P5000 Precision 5000

(AMAT) Endura II Front-End Metallization Endura II Front-End Metallization PVD (Physical Vapor Deposition)

Varian, Inc. Turbo-V 300 HT Turbo-V 300 HT Turbo Pump

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Tokyo Electron Ltd. (TEL) TELINDY ALDOX TELINDY ALDOX Vertical Furnace

AMAT 3690-04029 3690-04029 SCR CAP SKT HD 8-32 X 3/4L HEX SST, LOT 8, NEW

AMAT P5000 P5000 Precision 5000

(AMAT) Producer GT PECVD TEOS Producer GT PECVD TEOS PECVD (Chemical Vapor Deposition)

Keysight / Agilent / Hewlett-Packard (HP) 16500C 16500C Logic Analysis & Emulation Solutions

Novellus Systems Inc. SABRE 3D SABRE 3D ECD (Electro Chemical Deposition)

ASML TWINSCAN XT:400F TWINSCAN XT:400F i-Line Scanner

AMAT 3690-04289 3690-04289 SCREW,SOCKET HEAD,2-56*3/16,GIMBAL BASE, NEW, LOT 25

AMAT P5000 P5000 Precision 5000

(AMAT) Producer SE PECVD TEOS Producer SE PECVD TEOS PECVD (Chemical Vapor Deposition)

Seiko Instruments SFT 7155 SFT 7155 X-ray Fluorescence Spectrometer

Mattson Technology, Inc. Aspen III ICPHT Aspen III ICPHT Stripper/Asher

ASML TWINSCAN XT:400F TWINSCAN XT:400F i-Line Scanner

AMAT 3700-01063 3700-01063 ORING, BLACK, NEW, LOT 49

AMAT P5000 P5000 3 Chamber MxP Etch System

(AMAT) Reflexion LK Reflexion LK Multi-Process CMP

Acu-Gage AG 36 AG 36 Optical comparator

Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride ALPHA-303i Nitride Vertical Furnace

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

AMAT 3700-01260 3700-01260 O RING ID 1.614 CSD .070 VITON, NEW, LOT 9

LAM Rainbow 4400 Rainbow 4400 Poly etch system, complete in excellent condition

Dainippon Screen Mfg. Co. (DNS) SS-3000 SS-3000 Wafer Scrubber

Daymarc Corporation 3287 3287 Gravity Feed SOC Handler

Tokyo Electron Ltd. (TEL) TELFORMULA Nitride TELFORMULA Nitride Vertical Furnace

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

AMAT 3700-01329 3700-01329 ORING ID .299 CSD .103 VITON 75DURO BRN, LOT 6, NEW

LAM Rainbow 4420 Rainbow 4420 Poly etch system, complete in excellent condition

Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K TELFORMULA ALD High-K Vertical Furnace

Daymarc Corporation 3287 3287 Gravity Feed SOC Handler

Tokyo Electron Ltd. (TEL) TELFORMULA Nitride TELFORMULA Nitride Vertical Furnace

Tokyo Electron Ltd. (TEL) Precio XL Precio XL Production Wafer Prober

AMAT 3700-01440 3700-01440 ORING ID .799 CSD .103 KALREZ 4079 75DURO RLK, NEW

LAM Rainbow 4420 Rainbow 4420 Poly etch system, complete in excellent condition

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

Daymarc Corporation 3287 3287 Gravity Feed SOC Handler

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

LAM Research 2300e6 KIYO FX 2300e6 KIYO FX Polysilicon Etch

AMAT 3700-01445 3700-01445 ORING ID .359 CSD .139 KALREZ 4079 75DURO BLK, LOT 2, NEW

LAM Rainbow 4520 Rainbow 4520 Oxide etch system, complete in excellent condition

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

Daymarc Corporation 3287 3287 Gravity Feed SOC Handler

Tokyo Electron Ltd. (TEL) Triase+ Ti/TiN Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition)

LAM Research 2300e5 KIYO FX 2300e5 KIYO FX Polysilicon Etch

AMAT 3700-02093 3700-02093 ORING ID 1.112 CSD .103 VITON 75 DURO BRN, LOT 2, NEW

LAM Rainbow 4420B Rainbow 4420B Poly etch system, complete in excellent condition

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

Daymarc Corporation 3287 3287 Gravity Feed SOC Handler

Tokyo Electron Ltd. (TEL) Triase+ Ti/TiN Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition)

Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 CLEAN TRACK ACT 12 Single Block (Resist Developer)

AMAT 3700-02409 3700-02409 O-RING ID 2.980,CSD .070,, PAD CONDITIONE, NEW, LOT 29

LAM TCP 9600SE TCP 9600SE Metal Etch System, complete in excellent condition

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

Daymarc Corporation 3287 3287 Gravity Feed SOC Handler

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

(AMAT) Centura AP DPS AdvantEdge G2 Metal Centura AP DPS AdvantEdge G2 Metal Metal Etch

AMAT 3700-04313 3700-04313 GATE SEAL,25×560 VITON, NEW

LAM TCP 9600SE TCP 9600SE Metal Etch System, complete in excellent condition

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

Daymarc Corporation 3287 3287 Gravity Feed SOC Handler

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

(AMAT) Centura AP DPS AdvantEdge G2 Metal Centura AP DPS AdvantEdge G2 Metal Metal Etch

AMAT 3730-01009 3730-01009 SHIM(0.01INCH THICK), NEW

Novellus Concept 1 Concept 1 CVD System

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

PS System FPS 6000 FPS 6000 Trim From Combo

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

(AMAT) Centura AP DPS AdvantEdge G2 Metal Centura AP DPS AdvantEdge G2 Metal Metal Etch

AMAT 3780-02204 3780-02204 WASHER WAVE SPRING 3WAVE, NEW

MRC Eclipse Eclipse Physical Vapor Deposition (PVD) System

(AMAT) Producer SE PECVD TEOS Producer SE PECVD TEOS PECVD (Chemical Vapor Deposition)

PS System FPS 6000 FPS 6000 Trim From Combo

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

Zygo Corp. UniFire 7900 UniFire 7900 Overlay Measurement System

AMAT 3860-01460 3860-01460 TUBE POLYURETHANE RED 1-4IN, NEW

Westech IPEC 372M IPEC 372M Fully Automated Wafer Polisher

Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride ALPHA-303i Nitride Vertical Furnace

Teradyne, Inc. J993 J993 Memory Tester

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

(AMAT) Producer GT Selectra Producer GT Selectra Selective Etch

AMAT 3860-01683 3860-01683 TBG PLSTC .250OD X .047WALL PFA 450HP 4 FEET, NEW

Gasonics Aura 1000 Aura 1000 Automated single-wafer photoresist asher

KLA-Tencor Corp. VisEdge CV300R VisEdge CV300R Edge Defect

Texas INstruments LIS 4000ER LIS 4000ER Lead Inspection Equipment

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

Tokyo Electron Ltd. (TEL) Triase+ EX-II Ti/TiN Triase+ EX-II Ti/TiN Metal CVD (Chemical Vapor Deposition)

AMAT 3880-01008 3880-01008 WSHR FLAT #10 .438OD X .219ID X .049THK SST, LOT 4, NEW

Axcelis Fusion M150PC Fusion M150PC UV Photoresist Stabilizer

ASM International A412 POLY A412 POLY Vertical Furnace

Edwards Misc Pump Parts Misc Pump Parts Dry Pump

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

Tokyo Electron Ltd. (TEL) Triase+ EX-II Ti/TiN Triase+ EX-II Ti/TiN Metal CVD (Chemical Vapor Deposition)

AMAT 3880-01025 3880-01025 WASR LKG SPLIT #10 .3340D X .200ID X.047 THK SST. LOT 7, NEW

Axcelis Fusion M150PC Fusion M150PC UV Photoresist Stabilizer

Kokusai Quixace II Nitride Quixace II Nitride Vertical Furnace

Ismeca TMBZ SP TMBZ SP Taping

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

Hitachi (Semiconductor) S-5500 S-5500 FE SEM

AMAT 3880-01028 3880-01028 WSHR LKG SPLIT #3/8.680 OD X .385 ID X ., NEW, LOT 10

Axcelis Fusion M150PC Fusion M150PC UV Photoresist Stabilizer

Kokusai Quixace II Nitride Quixace II Nitride Vertical Furnace

Ismeca TMBZ SP TMBZ SP Taping

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

LAM Research 2300e5 KIYO FX 2300e5 KIYO FX Polysilicon Etch

AMAT 3880-01262 3880-01262 WSHR FLAT .113IDX.25OD X.016 THK SST, LOT 8, NEW

Novellus Systems Inc. Concept Three Altus Concept Three Altus WCVD (Chemical Vapor Deposition)

KLA-Tencor Corp / SensArray SensArray 1790 SensArray 1790 Temperature Monitoring

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

LAM Research 2300e6 Exelan Flex HX 2300e6 Exelan Flex HX Dielectric Etch

AMAT 3880-01305 3880-01305 1/4 SPLIT LOCKING WASHER, NEW, LOT 10

Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K TELFORMULA ALD High-K Vertical Furnace

KLA-Tencor Corp / SensArray SensArray 1530 SensArray 1530 Temperature Monitoring

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

AMAT 3880-01643 3880-01643 WSHRSEALING 3/8 .364ID X .666OD SST W/VI, NEW, LOT 8

Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K TELFORMULA ALD High-K Vertical Furnace

Rogers Machinery DUAL COMPRESSOR DUAL COMPRESSOR Air Compressors

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

LAM Research 2300 Exelan 2300 Exelan Dielectric Etch

AMAT 3910-01099 3910-01099 LABEL CE WARNING NON-IONZ RADIAT TRIANG 1.97″IEC, NEW

Tokyo Electron Ltd. (TEL) TELFORMULA ALD High-K TELFORMULA ALD High-K Vertical Furnace

Therma-Wave Inc. Therma-Probe 300 Therma-Probe 300 Implant Dosing Measurement

Tokyo Electron Ltd. (TEL) Precio Precio Production Wafer Prober

Sumitomo Eaton Nova (SEN) NV-GSD-HE3 NV-GSD-HE3 High Energy Implanter

AMAT 3920-00156 3920-00156 TOOL TORQUE SCREWDRIVER 1/4HEX-SKT-DR 11IN-LBS 4″LG, NEW

Tokyo Electron Ltd. (TEL) TELINDY Plus IRAD Oxide TELINDY Plus IRAD Oxide Vertical Furnace

FSI International Excalibur Excalibur Batch Wafer Processing

Ushio UMA-2003 UMA-2003 UV Cure System

Sumitomo Eaton Nova (SEN) NV-GSD-HE3 NV-GSD-HE3 High Energy Implanter

AMAT 3950-01021 3950-01021 NOZ, SPRAY VEEJET 1/8 NPT, NEW, LOT 26

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)

KLA-Tencor Corp. 2111 2111 Brightfield Inspection

CyberOptics SQ3000-DD SQ3000-DD Automatic Optic Inspection (AOI)

LAM Research 2300e6 KIYO EX 2300e6 KIYO EX Polysilicon Etch

AMAT AMAT ENDURA AMAT ENDURA ROBOT BLADE, USED

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)

KLA-Tencor Corp. 2111 2111 Brightfield Inspection

CyberOptics SE600 SE600 Solder Paste Inspection (SPI)

Lam Research EOS EOS Single Wafer Processing

ARROW ELECRONICS IND LOULWB-24-3 TOWER LIGHT AC/DC 244V RYG COLOR ELECRONICS IND LOULWB-24-3 TOWER LIGHT AC/DC 244V RYG COLOR ELECRONICS IND LOULWB-24-3 TOWER LIGHT AC/DC 244V RYG COLOR

Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Telius SP 305 DRM Dielectric Etch

KLA-Tencor Corp. 2111 2111 Brightfield Inspection

Panasonic Factory Solutions Co., Ltd NPM-D3 (NM-EJM6D) NPM-D3 (NM-EJM6D) SMT Mounter (Pick and Place)

Ebara FREX300S2 FREX300S2 Multi-Process CMP

ASPEN MOTION RM23-C018 RM23-C018 SERVO MOTOR 08260034

(AMAT) Centura AP DPS AdvantEdge G2 Metal Centura AP DPS AdvantEdge G2 Metal Metal Etch

KLA-Tencor Corp. 2111 2111 Brightfield Inspection

Panasonic Factory Solutions Co., Ltd NPM-D3 (NM-EJM6D) NPM-D3 (NM-EJM6D) SMT Mounter (Pick and Place)

(AMAT) Producer SE PECVD TEOS Producer SE PECVD TEOS PECVD (Chemical Vapor Deposition)

ASTEX FI80156 FI80156 MICROWAVE GENERATOR HEAD

KLA-Tencor Corp. Surfscan SP2 Surfscan SP2 Particle Measurement

(AMAT) AMAT 0 AMAT 0 Chiller/Heat Exchanger

Panasonic Factory Solutions Co., Ltd PanaCIM PanaCIM Line Management System

(AMAT) Producer SE PECVD SILANE Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition)

AXCELIS 580773H 580773H TC REV3 WAFER ION TRANSFER

LAM Research 2300 Exelan Flex FX - Chamber Only 2300 Exelan Flex FX - Chamber Only Dielectric Etch

Keithley Instruments, Inc. 300 300 Switching Matrix

PBT Works s.r.o. SuperSWASH II SuperSWASH II Stencil Cleaner

(AMAT) Producer GT APF Producer GT APF PECVD (Chemical Vapor Deposition)

AXCELIS 631834 631834 THERMOCHUCK ASSY KIT

ASM International Eagle-12 Rapidfire Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)

Steag Industrie AG Marangoni IPA Dryer Marangoni IPA Dryer Batch Wafer Processing

Agilent / Keysight E4990A E4990A Impedance Measuring Instruments

(AMAT) Producer GT APF Producer GT APF PECVD (Chemical Vapor Deposition)

BUSS BUSS CHCC1 FUSE HOLDER 30A 600V BUSS CHCC1 FUSE HOLDER 30A 600V BUSS CHCC1 FUSE HOLDER 30A 600V

ASM International Eagle-12 Rapidfire Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)

Nisso engineering co. ltd / NISON 1800S-55C 1800S-55C Batch Wafer Processing

ECSAL Technologies Pte. Ltd. Hydrotek-03 Hydrotek-03 Flux Cleaner

Novellus Systems Inc. VECTOR Extreme VECTOR Extreme PECVD (Chemical Vapor Deposition)

BUSS BUSS CHCC1 FUSE HOLDER 30A 600V BUSS CHCC1 FUSE HOLDER 30A 600V BUSS CHCC1 FUSE HOLDER 30A 600V

ASM International Eagle-12 Rapidfire Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)

PCI Ozone and Controls Systems Inc. G-7 G-7 Ozone Generator

PVA TePla America, Inc. PS 660 PS 660 Plasma Cleaner

COMDEL RF & DC POWER SUPPLY RACK RF & DC POWER SUPPLY RACK RF & DC POWER SUPPLY RACK

BUSS BUSS CHCC2 FUSE HOLDER 30A 600V BUSS CHCC2 FUSE HOLDER 30A 600V BUSS CHCC2 FUSE HOLDER 30A 600V

Tokyo Electron Ltd. (TEL) TELFORMULA Nitride TELFORMULA Nitride Vertical Furnace

Dainippon Screen Mfg. Co. (DNS) FS-820L FS-820L Batch Wafer Processing

Electroglas Inc. (EMTS) Horizon 4080X Horizon 4080X Production Wafer Prober

DAGE 4000 BONDTESTER BS5KG SERIES 4000 4000 BONDTESTER BS5KG SERIES 4000 4000 BONDTESTER BS5KG SERIES 4000

BUSS BUSS CHCC2 FUSE HOLDER 30A 600V BUSS CHCC2 FUSE HOLDER 30A 600V BUSS CHCC2 FUSE HOLDER 30A 600V

Tokyo Electron Ltd. (TEL) TELFORMULA Nitride TELFORMULA Nitride Vertical Furnace

Dainippon Screen Mfg. Co. (DNS) FS-820L FS-820L Batch Wafer Processing

Kokusai Vertron-V(S2) DD-835V Vertron-V(S2) DD-835V Vertical Furnace

EBARA REX300S CLEANER MODULE ONLY, LOT OF 2 REX300S CLEANER MODULE ONLY, LOT OF 2 REX300S CLEANER MODULE ONLY, LOT OF 2

CANON BH4-0515-02 BH4-0515-02 PCB BOARD BG6-0476 , USED

(AMAT) Centura AP DPS AdvantEdge G2 Poly Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch

Dainippon Screen Mfg. Co. (DNS) WS-820C WS-820C Batch Wafer Processing

Kaijo Corporation 778T-A 778T-A Batch Wafer Processing

TEL SPA 300MM MAINFRAME AND EFEM SPA 300MM MAINFRAME AND EFEM SPA 300MM MAINFRAME AND EFEM

CKD 2619-2C-P9 2619-2C-P9 REGULATOR CLEAN TYPE, USED

Tokyo Electron Ltd. (TEL) Tactras RLSA Poly Tactras RLSA Poly Polysilicon Etch

Dainippon Screen Mfg. Co. (DNS) FS-820L FS-820L Batch Wafer Processing

S-TEC / S.E.S. CO., LTD. AE1085F AE1085F Batch Wafer Processing

TEL UNITY SP 200MM UNITY SP 200MM UNITY SP 200MM

CKD 2619-2C-P9+G49D 2619-2C-P9+G49D Clean regulator, USED

Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Telius SP 305 DRM Dielectric Etch

Chemwest K232 K232 Quartz Tube Cleaner

Accretech/TSK UF3000EX UF3000EX Production Wafer Prober

TEL UNITY EP 200MM UNITY EP 200MM UNITY EP 200MM

CKD 2619-2C-P9-FL259996 2619-2C-P9-FL259996 G49D Clean regulator, USED

Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Telius SP 305 DRM Dielectric Etch

Delatech Inc. 858 - V2 858 - V2 Abatement - CDO Scrubber

Accretech/TSK UF3000 UF3000 Production Wafer Prober

AMAT 300MM CENTURA PRODUCER CHAMBER 300MM CENTURA PRODUCER CHAMBER 300MM CENTURA PRODUCER CHAMBER

CKD AMD312-X2149-K AMD312-X2149-K PNEUMATIC VALVE , NEW

Tokyo Electron Ltd. (TEL) TELINDY Plus IRAD Oxide TELINDY Plus IRAD Oxide Vertical Furnace

FSI International Excalibur Excalibur Batch Wafer Processing

Accretech/TSK UF3000 UF3000 Production Wafer Prober

NIKON NRM3300 OVERLAY SYSTEM NRM3300 OVERLAY SYSTEM NRM3300 OVERLAY SYSTEM

CKD AMD312-X2150-K AMD312-X2150-K PNEUMATIC VALVE , NEW

CAMECA EX-300 EX-300 Implant Dosing Measurement

Amray, Inc. 3800c 3800c SEM - Defect Review (DR)

(AMAT) Centura AP DPS AdvantEdge G2 Metal Centura AP DPS AdvantEdge G2 Metal Metal Etch

AMAT CVD CHAMBER CVD CHAMBER CVD CHAMBER

CKD AMDZ1-6BUS-2 AMDZ1-6BUS-2 PNEUMATIC VALVE , NEW

Evatech/Balzers BAK SPLIT BAK SPLIT PVD (Physical Vapor Deposition)

Estek Automation SDN BHD WIS-150 WIS-150 Broadband Patterned Wafer Defect Inspection

(AMAT) Producer GT APF Producer GT APF PECVD (Chemical Vapor Deposition)

AMAT 0010-26898 0010-26898 ASSEMBLY, CATHODE, 300MM ENABLER , USED

CKD AMG00-X0552-K AMG00-X0552-K PNEUMATIC VALVE , NEW

Evatech/Balzers BAK SPLIT BAK SPLIT PVD (Physical Vapor Deposition)

Boschman BXXP\21 BXXP\21 Molding Equipment

(AMAT) Reflexion LK Reflexion LK Multi-Process CMP

AMAT 0010-40489 0010-40489 GATE VALVE V70-P-353-7-HAAZZBB , USED

CKD R1000-8 B120 R1000-8 B120 Pneumatic regulator, USED

Teikoku Taping System Co., Ltd. DXL2-800CS-LSR-BMP-CE DXL2-800CS-LSR-BMP-CE Tape Laminator

Strasbaugh 6DS-SP 6DS-SP Multi-Process CMP

(AMAT) Producer GT BD/BLOk Low k Dielectric Producer GT BD/BLOk Low k Dielectric PECVD (Chemical Vapor Deposition)

AMAT 0020-47330 0020-47330 CLAMP, DRIVE, 6-PORT SPINDLE, LK REFLEXION , NEW

CKD RC2000-10-G49PB3-P9 RC2000-10-G49PB3-P9 G49D Clean regulator, USED

Teikoku Taping System Co., Ltd. DXR2-800CS-CE DXR2-800CS-CE Taper/Detaper

Genesis 2020B 2020B Vapor Prime Oven

(AMAT) Centura AP DPS AdvantEdge G2 Metal Centura AP DPS AdvantEdge G2 Metal Metal Etch

AMAT 0041-55333 0041-55333 GASBOX LARGE ELECTRODE LID PRODUCER, NEW

CKD VEC-SHA8-X0340 VEC-SHA8-X0340 CONTROL VALVE VEC-VH8-X0331-2 VEC-CA-X0312-3

Teikoku Taping System Co., Ltd. DXR2-800CS-CE DXR2-800CS-CE Taper/Detaper

Chemwest K232 K232 Quartz Tube Cleaner

(AMAT) Centura AP eMax CT Centura AP eMax CT Dielectric Etch

AMAT 0090-06913 0090-06913 CONTROL BOX , USED

COMDEL CBX5000 CBX5000 RF GENERATOR FP3516R1 AMAT PART , USED

(AMAT) Endura II Chambers: Multiple Endura II Chambers: Multiple PVD (Physical Vapor Deposition)

KLA-Tencor Corp. CRS-1200 CRS-1200 Optical Review System

(AMAT) Centura AP DPS AdvantEdge G2 Poly Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch

AMAT 0090-B0670 0090-B0670 LCPS POWER SUPPLY , USED

COMDEL CPMX-1500 CPMX-1500 RF MATCH FP2251R1 , USED

ASM International Eagle XP EmerALD Eagle XP EmerALD ALD (Atomic Layer Deposition)

VARIOUS 1.25" SILICON WAFER 1.25" SILICON WAFER Wafers

ASML TWINSCAN XT:400G TWINSCAN XT:400G i-Line Scanner

AMAT 0090-B1230 0090-B1230 ECC1 POWER SUPPLY , USED

COMDEL CX10P CX10P DC POWER SUPPLY AMAT PART , USED

(AMAT) Centura AP AdvantEdge G5 Metal Centura AP AdvantEdge G5 Metal Metal Etch

VARIOUS 1.5" SILICON WAFER 1.5" SILICON WAFER Wafers

Canon FPA-6000 ES6a FPA-6000 ES6a 248nm (KrF) Scanner

AMAT 0100-00546 0100-00546 ASSY PCB CHAMBER I/O DISTRIBUTION , USED

COMDEL RF & DC POWER SUPPLY RACK RF & DC POWER SUPPLY RACK RF & DC POWER SUPPLY RACK

Semitool Inc. 270-ST 270-ST Spin Rinse / Dryer (SRD)

VARIOUS 1.875" SILICON WAFER 1.875" SILICON WAFER Wafers

Canon FPA-6000 ES6a FPA-6000 ES6a 248nm (KrF) Scanner

AMAT 0100-90127 0100-90127 TEMPERATRUE CONTROL SERVO , USED

COPLEY CONTROLS 800-1614 CONTROLS 800-1614 CONTROLS 800-1614 XENUS SERVO DRIVE AMPLIFIER , USED

Disco Hi-Tec DFL7340 DFL7340 Laser Saw

VARIOUS 2" SILICON WAFER 2" SILICON WAFER Wafers

Canon FPA-6000 ES6a FPA-6000 ES6a 248nm (KrF) Scanner

AMAT 0190-01428 0190-01428 PW SUP 2 CHN PLSD PLATE 60A/48V ENI , USED

Cosel PAA150F-24 PAA150F-24-N PAA150F-24 PAA150F-24-N power supply, AC 100-240V, 24V 6.5A, USED

SEMI-GAS / Applied Energy Systems (AES) Three Cylinder Fully Automatic Gas Cabinet Three Cylinder Fully Automatic Gas Cabinet Chemical/Gas Storage & Delivery

VARIOUS 2.25" SILICON WAFER 2.25" SILICON WAFER Wafers

Canon FPA-6000 ES6a FPA-6000 ES6a 248nm (KrF) Scanner

AMAT 0190-03680 0190-03680 IO Backplane PCB Board , USED

Cosel PAA300F-24 PAA300F-24 power supply, AC 100-240V, 24V 14A , USED

SpeedFam Corp. IPEC 472 IPEC 472 Multi-Process CMP

VARIOUS 2.5" SILICON WAFER 2.5" SILICON WAFER Wafers

Canon FPA-5500 iZ+ FPA-5500 iZ+ i-Line Wide-Field Stepper

AMAT 0190-05399 0190-05399 DUAL CHNL SST CPCI DEVICENET BUS SCANNER , USED

Cosel PAA50F-24 PAA50F-24 power supply, 24V 2.2A AC100-240V, USED

Cleanroom Depot SW6168 SW6168 Portable Clean Room

VARIOUS 2.875" SILICON WAFER 2.875" SILICON WAFER Wafers

Canon FPA-6000 ES5 FPA-6000 ES5 248nm (KrF) Scanner

AMAT 0190-05611 0190-05611 CARD CONTROLLER CPCI 166MHZ PENTIUM 3U 3 , USED

Cosel PAA600F-24 PAA600F-24 power supply, AC 100-240V, 24V 27A, USED

Air Control, Inc. Microvoid FH-45D-S Microvoid FH-45D-S Fume Hood Workstation

VARIOUS 3" SILICON WAFER 3" SILICON WAFER Wafers

Canon FPA-6000 ES5 FPA-6000 ES5 248nm (KrF) Scanner

AMAT 0190-08680 0190-08680 CARD TWO CHANNEL DEVICENET CPCI 3U

DAGE 4000 DAGE 4000 DAGE 4000 BONDTESTER BS5KG SERIES 4000

Matrix Integrated Systems Matrix 105 Matrix 105 Stripper/Asher

VARIOUS 3.25" SILICON WAFER 3.25" SILICON WAFER Wafers

Dainippon Screen Mfg. Co. (DNS) SU-3200 SU-3200 Single Wafer Processing

AMAT 0190-10187 0190-10187 HEAD SWEEP MOTOR W/ LINEAR BEARING, USED

DELTA TAU ASSY TURBO PMAC2 CPU 4-AXIS 2-AXIS POWER UNIT , USED ASSY TURBO PMAC2 CPU 4-AXIS 2-AXIS POWER UNIT , USED ASSY TURBO PMAC2 CPU 4-AXIS 2-AXIS POWER UNIT , USED

Rudolph Technologies, Inc. NSX 105 NSX 105 Macro-Defect

VARIOUS 200MM SILICON WAFER 200MM SILICON WAFER Wafers

Dainippon Screen Mfg. Co. (DNS) SU-3100 SU-3100 Single Wafer Processing

AMAT 0190-10187 0190-10187 HEAD SWEEP SERVO MOTOR, 300MM REFLEXION , USED

DIGITAL ELECTRONICS CORP UF7810-2 UF7810-2 DIGITAL FLAT PANEL, WORKING

Teikoku Taping System Co., Ltd. DXL2-800CS-LSR-BMP-CE DXL2-800CS-LSR-BMP-CE Tape Laminator

VARIOUS SILICON INGOT SILICON INGOT SILICON INGOT

Dainippon Screen Mfg. Co. (DNS) FC-3000 FC-3000 Batch Wafer Processing

AMAT 0190-24676 0190-24676 Copley Controls 800-1536 Accelnet Servo Drive , USED

Disco FBPCB-0079 FBPCB-0079 PCB (Blade Broken Detector) Ver. 3 PCB Board, USED

Unaxis/Balzers EHV215A EHV215A Power Supply

Leica Inc. INS3300 INS3300 Macro-Defect

Dainippon Screen Mfg. Co. (DNS) FC-3000 FC-3000 Batch Wafer Processing

AMAT 0190-25187 0190-25187 RF MATCH, DUAL FREQUENCY W/ 0190-17029 , USED

Disco NBC-ZH 2030 NBC-ZH 2030 27HCCB/HCAA/HCBA Diamond Blade , NEW

Modular Process Technology RTP-600S RTP-600S Standalone RTP Equipment

VARIOUS 100MM SILICON WAFER 100MM SILICON WAFER Wafers

Dainippon Screen Mfg. Co. (DNS) FC-3100 FC-3100 Batch Wafer Processing

AMAT 0190-26873 0190-26873 CARD MOTION DELTA TAU PMAC2 CPU 160MHZ FW , USED

Disco NBC-ZH 2050 NBC-ZH 2050 27HECC Diamond Blade, NEW

Matrix Integrated Systems Matrix 105 Matrix 105 Stripper/Asher

VARIOUS 125MM SILICON WAFER 125MM SILICON WAFER Wafers

Dainippon Screen Mfg. Co. (DNS) FC-3000 FC-3000 Batch Wafer Processing

AMAT 0190-37790 0190-37790 INTERLOCK MODULE P3I CHAMBER , USED

ENI DCG2D-A031100021MC DCG2D-A031100021MC DC PLASMA GENERATOR 100CG-100A , USED

Tokyo Electron Ltd. (TEL) Tactras DRM3 Tactras DRM3 Dielectric Etch

VARIOUS 150MM SILICON WAFER 150MM SILICON WAFER Wafers

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

AMAT 0190-49999 0190-49999 ACTUATOR ASSEMBLY ( COVER BROKEN ) , USED AS IS

FUJI ELECTRIC CN23232 CN23232 Arrestor Surge Protector for Low Voltage Circuits, USED

(AMAT) Producer Etch eXT Dielectric Producer Etch eXT Dielectric Dielectric Etch

JEOL JWS-7855S JWS-7855S Mask Inspection & Repair System

Kokusai Quixace II ALD TIN Quixace II ALD TIN Vertical Furnace

AMAT 0190-49999 0190-49999 ACTUATOR ASSEMBLY , USED

Fuji Electric CP31E/10 CP31E/10 Circuit Protector AC 250V DC 60V MAX 30A, USED

Mattson Technology, Inc. Aspen III ICPHT Aspen III ICPHT Stripper/Asher

(AMAT) Producer Etch Dielectric Producer Etch Dielectric Dielectric Etch

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

AMAT 0190-52419 0190-52419 Abaco Systems CA3D1L24D1A CA3D Producer , USED

Fuji Electric CP31E/2 CP31E/2 Circuit Protector AC 250V DC 60V MAX 30A, USED

Mattson Technology, Inc. Aspen III ICPHT Aspen III ICPHT Stripper/Asher

Kaijo Corporation SFT-300 SFT-300 Batch Wafer Processing

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

AMAT 0195-13443 0195-13443 FCS VAP CNTRL I/O BOX , USED

Fuji Electric CP31E/5 CP31E/5 Circuit Protector AC 250V DC 60V MAX 30A, USED

Advantest T5585 T5585 Memory Tester

Kaijo Corporation SFT-300 SFT-300 Batch Wafer Processing

Kokusai Electric Co., Ltd. Lambda-300LE Lambda-300LE Stripper/Asher

AMAT 0195-14444 0195-14444 BZPED BSTR,S2 INTFC,W/O XFMR, PROD PRECISION , USED

Fuji Electric CP31E/7.5 CP31E/7.5 Circuit Protector AC 250V DC 60V MAX 30A, USED

Advantest T5585 T5585 Memory Tester

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Kokusai Electric Co., Ltd. Lambda-300LE Lambda-300LE Stripper/Asher

AMAT 0240-33145 0240-33145 SLIT VALVE VAT 0300X-M24-1008 , USED

Fuji Electric CP32E/15 CP32E/15 Circuit Protector AC 250V DC 60V MAX 30A, USED

Plasma-Therm I.P. Inc. 790 Etch 790 Etch Multi-Process Etch

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Kokusai Electric Co., Ltd. Lambda-300LE Lambda-300LE Stripper/Asher

AMAT 0660-00624 0660-00624 CARD CPCI 3U POWER SUPPLY 24VDC , USED

Fuji Electric CP32E/2DC CP32E/2DC Circuit Protector DC 60V 2A, USED

ReVera RVX1000 RVX1000 Film Thickness Measurement System

Disco Hi-Tec DFL7160 DFL7160 Laser Saw

Kokusai Electric Co., Ltd. Lambda-300LE Lambda-300LE Stripper/Asher

AMAT 0870-00017 0870-00017 DRVR SERVO AMP 200V 30A 750W DNET FIRMW , USED

Fuji Electric CP32E/3 CP32E/3 Circuit Protector AC 250V 50/60Hz, USED

ReVera RVX1000 RVX1000 Film Thickness Measurement System

JEOL JEM-2010F JEM-2010F TEM

KLA-Tencor Corp. SpectraShape 8660 SpectraShape 8660 Optical Review System

AMAT 9090-00473 9090-00473 GLASSMAN HIGH VOLTAGE PS/ER06N25.0YZ4 POWER SUPPLY , USED

Fuji Electric CP32E/5DC CP32E/5DC Circuit Protector DC 60V 5A, USED

Toray Engineering Co., Ltd. PB3000 PB3000 Flip Chip Bonder

JEOL JSM-6400F JSM-6400F FE SEM

KLA-Tencor Corp. SpectraShape 8660 SpectraShape 8660 Optical Review System

Adept Technology 10332-00710 10332-00710 PC BOARD CPU MODULE , USED

Fuji Electric CP32E/7.5 CP32E/7.5 Circuit Protector AC 250V DC 60V MAX 30A, USED

Tokyo Electron Ltd. (TEL) TELFORMULA Nitride TELFORMULA Nitride Vertical Furnace

Lam Research EOS - Parts EOS - Parts Single Wafer Processing

LAM Research 2300e5 Exelan Flex FX 2300e5 Exelan Flex FX Dielectric Etch

Adept Technology 10332-44710 10332-44710 PC BOARD CPU MODULE , USED

Fuji Electric EG32AC(10A) EG32AC(10A) E.L. Circuit Breaker, AC 100-230V,

Tokyo Electron Ltd. (TEL) TELFORMULA Nitride TELFORMULA Nitride Vertical Furnace

(AMAT) Vantage RadiancePlus Vantage RadiancePlus Platform RTP Equipment

LAM Research 2300e5 Exelan Flex FX 2300e5 Exelan Flex FX Dielectric Etch

Adept Technology 10332-48712 10332-48712 PC BOARD CPU MODULE , USED

Fuji Electric EG33AC E.L. EG33AC E.L. Circuit Breaker AC 100-230V,

Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride ALPHA-303i Nitride Vertical Furnace

LAM Research Alliance (A6) Exelan HP - Spare Parts Alliance (A6) Exelan HP - Spare Parts Dielectric Etch

LAM Research 2300e5 Exelan Flex FX 2300e5 Exelan Flex FX Dielectric Etch

ADVANCE NX219A-2ACB-K058-301 NX219A-2ACB-K058-301 VALVE , NEW

Fuji Electric EG52AC E.L. EG52AC E.L. Circuit Breaker, AC 100-230V

Tokyo Electron Ltd. (TEL) ALPHA-303i Nitride ALPHA-303i Nitride Vertical Furnace

Rudolph Technologies, Inc. NSX 105 - Spare Parts NSX 105 - Spare Parts Macro-Defect

Novellus Systems Inc. Concept Three Altus Max Concept Three Altus Max WCVD (Chemical Vapor Deposition)

ADVANCE SAT-3260-131CBi C-2202-086-0001 SAT-3260-131CBi C-2202-086-0001 MANUAL VALVE, USED

Fuji Electric HH54P-FL TP514X1 HH54P-FL TP514X1 CONTROL RALAYS, DC 24V, USED

Tokyo Electron Ltd. (TEL) NS 300Z NS 300Z Wafer Scrubber

VERSA CONN CORP. WB-302 Triple+ WB-302 Triple+ Semi Automated High Force Wafer Bonder

Novellus Systems Inc. Concept Three Altus Max Concept Three Altus Max WCVD (Chemical Vapor Deposition)

ALLEN BRADLEY 4409-M18001 4409-M18001 GUARD INVERTER

Fuji Electric SA102BAUL SA102BAUL FUJI AUTO BREAKER, 240V AC 2Pole 15A, USED

Accretech/TSK UF3000 UF3000 Production Wafer Prober

Muratec Murata Machinery, Ltd. G3-2 G3-2 Wafer Stocker

Novellus Systems Inc. Concept Three Altus Max Concept Three Altus Max WCVD (Chemical Vapor Deposition)

AMAT 0010-01886 0010-01886 ASSY, CERAMIC DOME, ES DTCU, NEW

Fuji Electric SA103BAUL SA103BAUL FUJI AUTO BREAKER, 240V AC 3Pole 50A, USED

JEOL JFS-9815 JFS-9815 Focused Ion Beam System

(AMAT) Centura AP AdvantEdge G5 Metal Centura AP AdvantEdge G5 Metal Metal Etch

LAM Research 2300 Coronus 2300 Coronus Wafer Edge Cleaning - Plasma

AMAT 0010-03486 0010-03486 HY-11 300mm Magnet Assembly, USED

Fuji Electric SA103BAUL SA103BAUL FUJI AUTO BREAKER, 240V AC 3Pole 75A, USED

Unity SC / HSEB Dresden GMBH / Carl Zeiss Axiospect 300 Axiospect 300 Optical Review System

Varian Semiconductor Equipment Associates (VSEA) VIISta HC VIISta HC High Current Implanter

LAM Research 2300 Coronus 2300 Coronus Wafer Edge Cleaning - Plasma

AMAT 0010-07353 0010-07353 BRUS BAR ASSEMBLY, NEW

Fuji Electric SA52BUL SA52BUL FUJI AUTO BREAKER 5A AC220V, USED

Rudolph Technologies, Inc. Axi 935 Axi 935 Macro-Defect

Varian Semiconductor Equipment Associates (VSEA) VIISta HC VIISta HC High Current Implanter

LAM Research 2300 Exelan 2300 Exelan Dielectric Etch

AMAT 0010-08113 0010-08113 ASS’Y, INPUT GRIPPER,UPGRADE,200MM MESA, NEW

Fuji Electric SC-03/G SC-03/G SC11AG Motor Contactor, USED

Rudolph Technologies, Inc. ultra-II ultra-II Ellipsometer

Kokusai Quixace II CURE Quixace II CURE Vertical Furnace

LAM Research 2300 Exelan 2300 Exelan Dielectric Etch

AMAT 0010-08114 0010-08114 ASSY, GRIPPER, UPGRADE, 200 MM MESA, NEW

Fuji Electric SC-N1/G SC-N1/G Magnetic Contactor, USED

(AMAT) Producer Etch eXT Poly Producer Etch eXT Poly Polysilicon Etch

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

LAM Research 2300 Exelan 2300 Exelan Dielectric Etch

AMAT 0010-20440 0010-20440 RF MATCH, untested as-is

Fuji Electric SC-N5 SC-N5 Magnetic Contactor, USED

Rudolph Technologies, Inc. NSX 105 NSX 105 Macro-Defect

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

LAM Research 2300e5 Exelan Flex FX 2300e5 Exelan Flex FX Dielectric Etch

AMAT 0010-24172 0010-24172 CII, HX, VALVE, NEW

Fuji Electric SG53RCUL(20A) SG53RCUL(20A) Earth Leakage Cicuit Breaker, AC 100-230V-440V, USED

Rudolph Technologies, Inc. NSX 105 NSX 105 Macro-Defect

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Novellus Systems Inc. VECTOR Extreme VECTOR Extreme PECVD (Chemical Vapor Deposition)

AMAT 0010-25273 0010-25273 FAST DATA COLLECTOR , USED

Fuji Electric SJ-0G/UL SJ-0G/UL Magnetic Contactor, USED

Rudolph Technologies, Inc. NSX 105 NSX 105 Macro-Defect

Kokusai Quixace II Doped Poly Quixace II Doped Poly Vertical Furnace

Mattson Technology, Inc. Aspen III ICPHT Aspen III ICPHT Stripper/Asher

AMAT 0010-30421 0010-30421 ASSY HEATER 8 JHT .029 W/VITON, NEW

FUJITSU COMPONENT LIMITED NC14003-T701#A NC14003-T701#A +ADAPTOR REMOTE CONTROL UNIT #A

Rudolph Technologies, Inc. NSX 105 NSX 105 Macro-Defect

Kokusai Quixace Ultimate ALD SiN Quixace Ultimate ALD SiN Vertical Furnace

Mattson Technology, Inc. Aspen III ICPHT Aspen III ICPHT Stripper/Asher

AMAT 0010-32110 0010-32110 300MM DUAL SLOT INDEXER ASSY ENDURA2 , USED

FUJITSU COMPONENT LIMITED NC14004-B096 NC14004-B096 OPERATOR INTERFACE CONSOLE DRAWER,

Rudolph Technologies, Inc. NSX 105 NSX 105 Macro-Defect

LAM Research 2300 Exelan Flex 2300 Exelan Flex Dielectric Etch

Mattson Technology, Inc. Aspen III ICPHT Aspen III ICPHT Stripper/Asher

AMAT 0010-70768 0010-70768 ASSY,GAS BOX,UNIV. SILANE W/VITON ORINGS, NEW

Fusion Axcelis 310331 310331 Exhaust Heater Assembly, NEW

Rudolph Technologies, Inc. NSX 105 NSX 105 Macro-Defect

LAM Research 2300 Exelan Flex 45 2300 Exelan Flex 45 Dielectric Etch

Mattson Technology, Inc. Aspen III ICPHT Aspen III ICPHT Stripper/Asher

AMAT 0010-77307 0010-77307 ASSY, SPINDLE 300MM, USED

Fusion Systems 266081 266081 Fixture, NEW

Tokyo Electron Ltd. (TEL) TELINDY ALD High-K TELINDY ALD High-K Vertical Furnace

Nova Measuring Instruments, Inc. NovaScan 3090 Next SA NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM)

Mattson Technology, Inc. Suprema Suprema Stripper/Asher

AMAT 0015-09091 0015-09091 PHASETRONICS MCVD Lamp Driver, NOT WORKING

GENERAL ELECTRIC 9650-128 9650-128 MINI PROTOCOL CONVERTER. 128K MEMORY

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Resist Coater/Developer)

Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 CLEAN TRACK ACT 12 Single Block (Resist Developer)

Mattson Technology, Inc. Aspen III ICPHT Aspen III ICPHT Stripper/Asher

AMAT 0015-13028 0015-13028 MOD INTERIOR TRIM 54 POSITION CB, NEW

GESAPC GESICU-2A GESICU-2A INDUSTRIAL INTERCONNECTION UNIT

LAM Research 2300e4 Exelan Flex GXE 2300e4 Exelan Flex GXE Dielectric Etch

Tokyo Electron Ltd. (TEL) Cellesta+ Cellesta+ Single Wafer Processing

Mattson Technology, Inc. Aspen III ICPHT Aspen III ICPHT Stripper/Asher

AMAT 0020-08673 0020-08673 SHIELD, INNER, AL ARC-SPRAY SST, SIPM NEW

HORIBA STEC SEC-6470M STEC SEC-6470M MASS FLOW CONTROLLER N2 400SLM , USED

Tokyo Electron Ltd. (TEL) Tactras Vesta NV3 Tactras Vesta NV3 Polysilicon Etch

Tokyo Electron Ltd. (TEL) TELINDY ALDOX TELINDY ALDOX Vertical Furnace

Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Telius SP 305 DRM Dielectric Etch

AMAT 0020-20073 0020-20073 LOCK, LID INTERLOCK, HDPCVD 300MM ULTIMA, NEW

HUBBELL HBL2326 HBL2326 CONNECTOR, POWER ENTRY, RECEPTACLE, 20A

Tokyo Electron Ltd. (TEL) Tactras Vesta NV3 Tactras Vesta NV3 Polysilicon Etch

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

Tokyo Electron Ltd. (TEL) Cellesta Cellesta Single Wafer Processing

AMAT 0020-22838 0020-22838 LIFT HOOP, PRECLEAN, USED

Idec BNH15MW BNH15MW TERMINAL BLOCKS, 600Vmax 10A, 22-14AWG,

Nikon OPTIPHOT 200C OPTIPHOT 200C Microscope

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

Tokyo Electron Ltd. (TEL) Cellesta Cellesta Single Wafer Processing

AMAT 0020-23586 0020-23586 Shield, Adapter, 8″, G12,

Idec BNL 6 Stopper, LOT 2, USED BNL 6 Stopper, LOT 2, USED BNL 6 Stopper, LOT 2, USED

Air Control, Inc. Microvoid FH-45D-S Microvoid FH-45D-S Fume Hood Workstation

Tokyo Electron Ltd. (TEL) Telius 305 DRM Telius 305 DRM Dielectric Etch

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)

AMAT 0020-26256 0020-26256 SHIELD, FRONT PLATEN, MIRRA CMP, NEW

IDEC HS6B-11 HS6B-11 SAFETY SWITCH, USED

Evatech/Balzers BAK SPLIT BAK SPLIT PVD (Physical Vapor Deposition)

Tokyo Electron Ltd. (TEL) Trias Ti/TiN Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)

AMAT 0020-26588 0020-26588 Shield 8″, REFURB

IDEC HS6B-12 HS6B-12 SAFETY SWITCH, USED

Semitool Inc. 270-ST 270-ST Spin Rinse / Dryer (SRD)

Sumitomo Precision Products Co., Ltd. SGRC Series SGRC Series Ozone Generator

Tokyo Electron Ltd. (TEL) Expedius Expedius Batch Wafer Processing

AMAT 0020-29343 0020-29343 SHLELD, CLAMP DARKSPACE, NEW

INFICON CDG160A-S CDG160A-S SKY CDG VACUUM GAUGE, 373-113 133322Pa , USED

Rudolph Technologies, Inc. AutoEL III AutoEL III Ellipsometer

(AMAT) Producer SE PECVD SILANE Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition)

Tokyo Electron Ltd. (TEL) UW300Z UW300Z Batch Wafer Processing

AMAT 0020-34908 0020-34908 BUSHING, TERMINAL, DCVD, NEW

IODATA CDRW-U5232 CDRW-U5232 CD Rom Drive Compact Disk 52x32x52

Tecdia Inc. TEC-1228AL TEC-1228AL Wafer Breaker

Edwards iL70 iL70 Dry Pump

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

AMAT 0020-60201 0020-60201 DEP RING,8″ SNNF,ESC,9MM,AL W/ MASK,S/B, NEW

KPX Chemical KONI-2WE Chemical KONI-2WE KONIPAD, NEW

Teikoku Taping System Co., Ltd. DXL2-800CS-LSR DXL2-800CS-LSR Taper/Detaper

Orient Service 1862-WA 1862-WA Chemical/Gas Treatment System

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

AMAT 0020-62093 0020-62093 SHIELD, X SHAFT, NEW

Krone Corporation KS-2500 D Corporation KS-2500 D ifference Pressure Manometer, USED

Air Control, Inc. Microvoid FH-45D-SS-6 Microvoid FH-45D-SS-6 Fume Hood Workstation

Edwards HOX HOX Abatement - Exhaust Management System

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

AMAT 0020-77214 0020-77214 MOUNT, MOTOR, USED

LAM RESEARCH 12-8800-048 12-8800-048 ASSY CBL, ROLLER MOTOR, GM9413J821-R3 , USED

Teikoku Taping System Co., Ltd. EXM 800CS UVR CE EXM 800CS UVR CE Taper/Detaper

(AMAT) Raider ECD_ Raider ECD_ ECD (Electro Chemical Deposition)

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

AMAT 0020-77280 0020-77280 PULLEY MOUNT,LONG ROBOT, NEW

LAM RESEARCH 715-443098-001 715-443098-001 RING CLP UPPER ELECTRODE, NEW

Teikoku Taping System Co., Ltd. DXR2-800CS-CE DXR2-800CS-CE Taper/Detaper

Tokyo Electron Ltd. (TEL) Telius SP 305 DRM Telius SP 305 DRM Dielectric Etch

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

AMAT 0020-77292 0020-77292 MAIN CAP, LONG ROBOT, NEW

LAM RESEARCH 715-443202-001 715-443202-001 RING, CPLG, ESC, JEIDA, 8″,

JEOL JFS-9855S JFS-9855S Focused Ion Beam System

Accretech/TSK UF300 UF300 Production Wafer Prober

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

AMAT 0020-77299 0020-77299 SPCR, DRIVE PULLEY BEARIN, NEW

LAM RESEARCH 716-018614-082 716-018614-082 Focus Ring 200mm,Jeida,ESX,4420, NEW

Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal ALPHA-303i Anneal Vertical Furnace

Edwards Atlas TPU+WESP Atlas TPU+WESP Abatement - Scrubber

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

AMAT 0020-77300 0020-77300 SPACER,ROBOT, NEW

LAM RESEARCH 716-330760-002 716-330760-002 (U)RMG MOD Shadow Clamp, NEW

Varian Semiconductor Equipment Associates (VSEA) VIISta PLAD VIISta PLAD High Dose Implant

Risshi / Maruyama YR-8020 YR-8020 Chiller/Heat Exchanger

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

AMAT 0020-77337 0020-77337 BELT GUARDS, X-AXIS BELT,LONG ROBOT, NEW

LAM RESEARCH 716-443086-308-C 716-443086-308-C Hot Edge Ring Si JEIDA 8″, NEW

Canon Surpass 300 Surpass 300 Stripper/Asher

Risshi / Maruyama YR-8020SC YR-8020SC Chiller/Heat Exchanger

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

AMAT 0020-77372 0020-77372 GEAR, SWEEP, DRIVING, NEW

LAM RESEARCH 796-009365-001 796-009365-001 HOSE METAL FLEX NW40 10 IN, NEW

Dainippon Screen Mfg. Co. (DNS) MP-3000 MP-3000 Single Wafer Processing

LAM Research 2300e4 KIYO MCX 2300e4 KIYO MCX Metal Etch

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

AMAT 0020-77377 0020-77377 CLAMP, SWEEP, DRIVE GEAR, NEW

LAM RESEARCH 853-494678-010 853-494678-010 CABLE

Semitool Inc. Raider ECD310 Raider ECD310 ECD (Electro Chemical Deposition)

(AMAT) Centura AP AdvantEdge G5 Metal Centura AP AdvantEdge G5 Metal Metal Etch

Tokyo Electron Ltd. (TEL) NS 300 NS 300 Wafer Scrubber

AMAT 0020-77441 0020-77441 SHAFT LOAD CUP, NEW

LAM RESEARCH 857-072806-012 857-072806-012 KIT, GASKET, ELCTD , NEW

ASML YieldStar S-200B YieldStar S-200B Overlay Measurement System

Rudolph Technologies, Inc. MetaPULSE 300 MetaPULSE 300 Film Thickness Measurement System

Tokyo Electron Ltd. (TEL) TELINDY Plus IRAD Oxide TELINDY Plus IRAD Oxide Vertical Furnace

AMAT 0020-78001 0020-78001 COVER, LONG, UPPER, USED

LAM RESEARCH 857-073299-002 857-073299-002 TCP Q-PAD KIT , NEW

LAM Research 2300e5 Exelan Flex FX 2300e5 Exelan Flex FX Dielectric Etch

Hitachi (Semiconductor) CG4000 CG4000 SEM - Critical Dimension (CD) Measurement

Tokyo Electron Ltd. (TEL) Expedius+ Expedius+ Batch Wafer Processing

AMAT 0020-78194 0020-78194 CAP, LOAD CUP, NEW

LAM RESEARCH 857-073710-002 857-073710-002 SHIM,CIRLEX SHIM SET TALON , NEW

Aetrium 1164 1164 Dynamic Life Test System

(AMAT) Reflexion LK Oxide Reflexion LK Oxide Dielectric CMP

Tokyo Electron Ltd. (TEL) TELINDY Plus Oxide TELINDY Plus Oxide Vertical Furnace

AMAT 0020-78260 0020-78260 MNTG BRKT 1 LOADCUP, NEW

MEIDAN UA021/221A UA021/221A INDUSTRIAL COMPUTER uPIBOC-III , USED

Control Laser Corporation. (CLC) FALIT FALIT Package Auto Decap System

Keysight / Agilent / Hewlett-Packard (HP) 4073A 4073A Parametric Tester

Tokyo Electron Ltd. (TEL) TELINDY Plus Oxide TELINDY Plus Oxide Vertical Furnace

AMAT 0020-78283 0020-78283 MOTOR MOUNT, ROBOT X-AXI, NEW

MITSUBISHI CP30-BA POLE 2 CP30-BA POLE 2 CIRCUIT PROTECTOR, USED

Kokusai Quixace Ultimate ALD SiO2 Quixace Ultimate ALD SiO2 Vertical Furnace

Keysight / Agilent / Hewlett-Packard (HP) 4073B 4073B Parametric Tester

Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal ALPHA-303i Anneal Vertical Furnace

AMAT 0020-78433 0020-78433 COUPLING, DRIVE PULLEY, NEW

MITSUBISHI CP30-BA POLE 2 CP30-BA POLE 2 CIRCUIT PROTECTOR, USED

Advantest T5383 T5383 Memory Tester

Hitachi (Semiconductor) CG4000 CG4000 SEM - Critical Dimension (CD) Measurement

Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal ALPHA-303i Anneal Vertical Furnace

AMAT 0020-78435 0020-78435 LONG ROBOT X AXIS DRIVE BELT CLAMP, NEW

MITSUBISHI CP30-BA( POLE 1, 10A) CP30-BA( POLE 1, 10A) CIRCUIT PROTECTOR, USED

Advantest T5383 T5383 Memory Tester

Zygo Corp. UniFire 7900 UniFire 7900 Overlay Measurement System

Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal ALPHA-303i Anneal Vertical Furnace

AMAT 0020-78871 0020-78871 MOTOR MOUNT, ROBOT X-AXIS, NEW

MITSUBISHI CP30-BA( POLE 1, 10A) CP30-BA( POLE 1, 10A) CIRCUIT PROTECTOR, USED

Advantest T5383 T5383 Memory Tester

Nanometrics Inc. Tevet Trajectory T3 Tevet Trajectory T3 Film Thickness Measurement System

Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal ALPHA-303i Anneal Vertical Furnace

AMAT 0020-78902 0020-78902 FRONT PLATE, CLEAN CUP, NEW

MITSUBISHI CP30-BA( POLE 1, 15A) CP30-BA( POLE 1, 15A) CIRCUIT PROTECTOR, USED

Advantest T5383 T5383 Memory Tester

Dainippon Screen Mfg. Co. (DNS) SS-3000-AR SS-3000-AR Wafer Scrubber

Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal ALPHA-303i Anneal Vertical Furnace

AMAT 0020-78947 0020-78947 RR MANIFOLD, NEW

MITSUBISHI CP30-BA( POLE 1, 15A) CP30-BA( POLE 1, 15A) CIRCUIT PROTECTOR, USED

Novellus Systems Inc. SABRE 3D SABRE 3D ECD (Electro Chemical Deposition)

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Resist Coater/Developer)

Tokyo Electron Ltd. (TEL) ALPHA-303i Anneal ALPHA-303i Anneal Vertical Furnace

AMAT 0020-78983 0020-78983 CLAMP,DRIVE SPINDLE, USED

MITSUBISHI CP30-BA( POLE 1, 5A) CP30-BA( POLE 1, 5A) CIRCUIT PROTECTOR, USED

Pfeiffer Vacuum GmbH Various Various Dry Pump

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Resist Coater/Developer)

Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 CLEAN TRACK ACT 12 Single Block (Resist Developer)

AMAT 0020-79007 0020-79007 STAR BRACKET, NEW

MITSUBISHI CP30-BA( POLE 1, 5A) CP30-BA( POLE 1, 5A) CIRCUIT PROTECTOR, USED

Alcatel ADS 1802H ADS 1802H Dry Pump

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS SINGLE BLOCK CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Resist Coater/Developer)

Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 CLEAN TRACK ACT 12 Single Block (Resist Developer)

AMAT 0020-79185 0020-79185 BEARING CLAMP, BASE ASSY, NEW

MITSUBISHI Q25HCPU Q25HCPU CPU UNIT MELSEC-Q, USED

Alcatel ADS1802P ADS1802P Dry Pump

Nova Measuring Instruments, Inc. NovaScan 3090 Next SA NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM)

Tokyo Electron Ltd. (TEL) CLEAN TRACK ACT 12 CLEAN TRACK ACT 12 Single Block (Resist Developer)

AMAT 0020-79188 0020-79188 SPRING SPACER PAD COND, NEW

MITSUBISHI Q65B Q65B BASE UNIT NELSEC-Q, USED

DAS ESCAPE DUO ESCAPE DUO Chemical/Gas Treatment System

Nova Measuring Instruments, Inc. NovaScan 3090 Next SA NovaScan 3090 Next SA Critical Dimension (CD) Measurement (non SEM)

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)

AMAT 0020-79190 0020-79190 FRAME, HARMONIC DRIVE, BASE ASSEMBLY, NEW

MKS AS00700-02 AS00700-02 PCB 3U ANALOG INPUTOUTPUT CARD-CPCI AIAO-0700 , USED

Unisem UN-2002A-PG UN-2002A-PG Abatement - Scrubber

(AMAT) VeritySEM 4i VeritySEM 4i SEM - Critical Dimension (CD) Measurement

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS MULTI BLOCK CLEAN TRACK LITHIUS MULTI BLOCK Multi Block (Resist Coater/Developer)

AMAT 0020-79923 0020-79923 SWEEP, MOTOR SPACER, BASE ASSY, NEW

MKS OPT-100AD-05MC OPT-100AD-05MC GENERATOR DCG-100A OPTIMA , USED

Advanced Thermal Sciences (ATS) DEX-20A DEX-20A Chiller/Heat Exchanger

Ebara FREX300S Tungsten FREX300S Tungsten Tungsten CMP

Tokyo Electron Ltd. (TEL) TELFORMULA Nitride TELFORMULA Nitride Vertical Furnace

AMAT 0020-87543 0020-87543 SHIELD, SHAFT & WRIST, NEW

MKS T3BIA-26711 T3BIA-26711 HIGH SPEED EXHAUST THROTTLE CONTROL VALVE

Risshi / Maruyama YR-8020SC YR-8020SC Chiller/Heat Exchanger

Leica Inc. INS3300 INS3300 Macro-Defect

Advantest M6542AD M6542AD Pick & Place Memory Handler

AMAT 0020-D8440 0020-D8440 CHUCK 300COMPLUS3, NEW

NOHMI FRC712 FRC712 SMOKE SIGNAL UNIT, USED

Various Various Various Parts/Peripherals

Leica Inc. INS3300 INS3300 Macro-Defect

Advantest M6542AD M6542AD Pick & Place Memory Handler

AMAT 0021-18682 0021-18682 PANEL, CEILING, LEFT, IR ISRM INTERLOCK READY, NEW

Norgren VM1516SL12898A VM1516SL12898A DeviceNET 5V MOD NET

DAS ESCAPE DUO ESCAPE DUO Chemical/Gas Treatment System

Leica Inc. INS3300 INS3300 Macro-Defect

Axcelis Technologies Inc. GSD/HE GSD/HE High Energy Implanter

AMAT 0021-24183 0021-24183 LINER, BOTTOM SIDE 1, 300MM SE, NEW

NOVELLUS 03-263834-00 03-263834-00 RF GEN INTERFACE ,PDP/MSSD, C3SPD , USED

Ebara FREX300S Tungsten FREX300S Tungsten Tungsten CMP

Brooks Automation, Inc. MTX2000 MTX2000 Wafer Sorter

JEOL JWS-7555S JWS-7555S SEM - Defect Review (DR)

AMAT 0021-24184 0021-24184 LINER, BOTTOM SIDE 2, 300MM SE, NEW

NOVELLUS 15-101482-01 15-101482-01 FORK, TOP PLATE, B ANTI-DEFLECT, SEQ, NEW

Ebara FREX300S Tungsten FREX300S Tungsten Tungsten CMP

Brooks Automation, Inc. MTX2000 MTX2000 Wafer Sorter

Tokyo Electron Ltd. (TEL) P-8 P-8 Production Wafer Prober

AMAT 0021-27015 0021-27015 CATHODE SLEEVE YTTRIUM OXIDE PRODUCER ET, NEW

Novellus 15-306819-00 15-306819-00 Shield, Ring, Ceramic, .125TA Trench, TWAS, PVD300

Tokyo Electron Ltd. (TEL) CLEAN TRACK LITHIUS Pro CLEAN TRACK LITHIUS Pro Multi Block (Resist Coater/Developer)

(AMAT) VeritySEM 4i+ VeritySEM 4i+ SEM - Critical Dimension (CD) Measurement

Tokyo Electron Ltd. (TEL) P-8 P-8 Production Wafer Prober

AMAT 0021-77073 0021-77073 EXT.HAR.DR.SPACER, NEW

NOVELLUS 17-127551-00 17-127551-00 SHIELD, BOTTOM TOPHAT, ARCS PVD300 , NEW

Axcelis Technologies Inc. Radiantstrip 320 Radiantstrip 320 Stripper/Asher

Hermes Microvision (HMI) eP3 XP eP3 XP E-beam Inspection

Tokyo Electron Ltd. (TEL) P-12XLn+ P-12XLn+ Production Wafer Prober

AMAT 0021-77125 0021-77125 ROLLER, ROLLER ASSY, NEW

Novellus 17-291211-00 17-291211-00 iNova A1 Shield, Pedestal Blasted , NEW

(AMAT) Producer GT APF Producer GT APF PECVD (Chemical Vapor Deposition)

Rudolph Technologies, Inc. MetaPULSE 300 MetaPULSE 300 Film Thickness Measurement System

Tokyo Electron Ltd. (TEL) P-8 P-8 Production Wafer Prober

AMAT 0021-77924 0021-77924 SEAL HEAD ASSEMBLY PAD CONDITIONER, NEW

NSK EE1010A03-24.2 EE1010A03-24.2 MEGATORQUE MOTOR DRIVER , USED

(AMAT) Reflexion LK Oxide Reflexion LK Oxide Dielectric CMP

Rudolph Technologies, Inc. MetaPULSE 300 MetaPULSE 300 Film Thickness Measurement System

MOSAID Technologies MS4205 MS4205 Design Verification Tester

AMAT 0021-78163 0021-78163 HOUSING DRIVER, NEW

NSK EE1410A03-25 EE1410A03-25 MEGATORQUE MOTOR DRIVER , USED

Novellus Systems Inc. Concept Three Altus Max Concept Three Altus Max WCVD (Chemical Vapor Deposition)

Kokusai Electric Co., Ltd. Lambda Lambda Stripper/Asher

MOSAID Technologies MS4205 MS4205 Design Verification Tester

AMAT 0021-78502 0021-78502 SHAFT, EXT RINSE ASSY W/ GROOVE, USED

NSK ESA-Y3008C23-21 ESA-Y3008C23-21 ESA DRIVER , USED

Tokyo Electron Ltd. (TEL) Tactras Vigus RK5 - Chamber Only Tactras Vigus RK5 - Chamber Only Dielectric Etch

RIGHT RP3000 RP3000 N2 Purge System

MOSAID Technologies MS3490 MS3490 Design Verification Tester

AMAT 0021-79248 0021-79248 COVER, FREESTANDING, NEW

OKAYA XEB474 IEC384-14II XEB474 IEC384-14II NOISE SUPPRESSION CAPACITORS, USED

ADE Corporation AFS-3220 AFS-3220 Wafer Characterization

Athlete-FA Corporation BM-2000WI BM-2000WI Solder Ball Mount

MOSAID Technologies MS3490 MS3490 Design Verification Tester

AMAT 0021-79508 0021-79508 ANGLE, REAR, SKIN MTG, UP RT, NEW

OMRON DCN1-4 DCN1-4 T-TAP DEVICENET BRANCH LINE 5 CONNECTOR

(AMAT) Oasis Clean Oasis Clean Batch Wafer Processing

Disco Hi-Tec DFS8910 DFS8910 Surface Planarization

MOSAID Technologies MS3480 MS3480 Design Verification Tester

AMAT 0022-77221 0022-77221 PANEL, USED

OMRON DRT1-COM DRT1-COM COMMUNICATION UNIT, 24V DC 0.5A, USED

(AMAT) Oasis Clean Oasis Clean Batch Wafer Processing

Leica Inc. INS2000 INS2000 Macro-Defect

MOSAID Technologies MS3490 MS3490 Design Verification Tester

AMAT 0040-43271 0040-43271 COLLAR HPR/SLURRY DELIVERY, CU MIRRA MES, USED

OMRON DRT2-ID16 DRT2-ID16 REMOTE TERMINAL, 24V DC 6 mA/Point, USED

(AMAT) Oasis Clean Oasis Clean Batch Wafer Processing

Leica Inc. INS2000 INS2000 Macro-Defect

MOSAID Technologies MS3480 MS3480 Design Verification Tester

AMAT 0040-75423 0040-75423 BRDT/GFI SNSR MTG CENTURA/CMP, NEW

OMRON DRT2-OD16 DRT2-OD16 REMOTE TERMINAL, 24V DC 0.5A/Point

Varian Semiconductor Equipment Associates (VSEA) VIISta 810 VIISta 810 Mid Current Implanter

Toray Engineering Co., Ltd. FC3000WL FC3000WL Flip Chip Bonder

Wentworth Laboratories MP-2000 MP-2000 Engineering Wafer Prober

AMAT 0040-76820 0040-76820 FACEPLATE, 1.5D 12MIL .70THK, SACVD 300M, USED

OMRON GT1-ID16 GT1-BSCO1 GT1-ID16 GT1-BSCO1 DIGITAL UNIT, 24VDC 16point, USED

ASML YieldStar S-100B YieldStar S-100B Overlay Measurement System

Verigy (Agilent) V4400 V4400 Memory Tester

Tokyo Electron Ltd. (TEL) P-12XL P-12XL Production Wafer Prober

AMAT 0040-77174 0040-77174 COVER,PLATEN BELT, USED

OMRON GT1-ID32ML GT1-ID32ML DIGITAL UNIT, 24VDC, 32Point, 6mA MAX/Point, USED

AMAT 0227-06385 ISOLATION TRANSFORMER W/TB, 20

AMAT 0050-13029 TURBO WATER LINE W/SST FLARE FTGS

AMAT MICROVISION-PLUS SPECTRA 960305-1

AMAT 3700-01044 ORING ID 1.750 CSD .125 VITON

AMAT 3700-02133 ORING ID .206 CSD .103 KALREZ

AMAT 72-50032-00 APPLIED MATRIALS COMPONENTS

AMAT 0100-09068 wMINI CONTROLLER ASSY BACKPLAN

AMAT 3860-01467 TBG PLSTC 5/32OD 3/32ID POLYURETHANE

AMAT 833-101410-042 LAM CABLE

AMAT 0150-20080 CABLE ASSY PUMP FRAME DIST

AMAT 0140-75111 HARN. ASSY, SHIELD TREATMENT/TURBO

AMAT 0010-13967 ASSY, OTF CENTERFINDER RECEIVER, 300MM

AMAT NPR-509L (#2) NP POWER PLASMA REACTOR

AMAT AJ787001 093022 FUJIKIN VALVE

AMAT QBHW1015 CUTLER-HAMMER 1POLE 15A CIRCUIT BREAKER

AMAT 0020-22805 PLATE ADAPTER CLAMP WIDE BODY CHAMBER

AMAT FFB0824EHE (#1) DELTA ELECTRONICS DC24V 0.75A FAN

AMAT 3030-01935 MFC 1660 300SCCM SIH4 1/4 VCR MTL NC

AMAT 0020-35576 APPLIED MATRIALS COMPONENTS

AMAT 0020-76453 BUS BAR,LOWER LEFT CONTACTOR,CENTURA-STD

AMAT [670548] SEAL RETAINER, GAS RING

AMAT 0020-34130 PIN,TUBE LIFT

AMAT 0020-58790 APPLIED MATRIALS COMPONENTS

AMAT DOFBC2-075 ENI RF 000-1103-088 660-002296-004 MATCH

AMAT 0020-31659 COVER, VESPEL

AMAT 0020-23922 APPLIED MATRIALS COMPONENTS

AMAT 0090-20042 ASSY,3 WAY VALVE

AMAT 8052300G001 CTI-CRYOGENICS 8011 CONTROL MODULE

AMAT 0050-38103 WELDMENT, LEFT H2O SUPPLY MANIFOLD(SHO E

AMAT TSPTB100 INFICON TRANSPECTOR TSP TB100

AMAT 3700-01047 ORING ID 2.250 CSD .125 VITON7

AMAT 3700-02134 ORING ID 1.362 CSD .103 KALREZ

AMAT 72-50035-00 APPLIED MATRIALS COMPONENTS

AMAT 0100-09068 wMINI CONTROLLER ASSY BACKPLAN

AMAT 3860-01469 TBGPLSTC 5/32OD 3/32ID POLYU

AMAT 833-101410-043 LAM CABLE

AMAT 0150-36349 CABLE ASSY, AUTOBIAS POWER

AMAT 0150-00239 SBC I/O POWER INTRCONECT CABLE

AMAT 0010-13967 ASSY, OTF CENTERFINDER RECEIVER, 300MM

AMAT SR1000N CHANG MIN Auto contact system

AMAT AK38R000 079793 FUJIKIN VALVE

AMAT QBHW1015T CUTLER-HAMMER 1POLE 15A CIRCUIT BREAKER

AMAT 0020-22805 PLATE ADAPTER CLAMP WIDE BODY CHAMBER

AMAT FFB0824EHE DELTA ELECTRONICS DC24V 0.75A FAN

AMAT 3030-05758W MFC 4400 3SLM AR 1/4VCR MTL N/C 9P-D 1.5R

AMAT 0021-06079 SHIELD, INNER, BKM3 TXZ

AMAT 0020-76664 APPLIED MATRIALS COMPONENTS

AMAT [898517] LEYBOLD PURGE KIT, NE-GAS BALLAST, S/D8-60A

AMAT 0020-34428 MOUNT, BRACKET, BULKHEAD, DPS

AMAT 0020-58790 APPLIED MATRIALS COMPONENTS

AMAT DOFBC2-075 ENI RF 000-1103-088 660-002296-004 MATCH

AMAT 0020-31659 COVER, VESPEL

AMAT 0020-23922 APPLIED MATRIALS COMPONENTS

AMAT 0090-20042 ASSY,3 WAY VALVE

AMAT 1140-01086 wPWRSP DC 10KW SLAVE MAG 2012-043-Z

AMAT 0050-38104 APPLIED MATRIALS COMPONENTS

AMAT TSPTH200 INFICON TRANSPECTOR TSP TH200

AMAT 3700-01054 O-RING VITON BS.260

AMAT 3700-02141 ORING ID .421 CSD .139 VITON 75DURO BLK

AMAT 72-50040-00 APPLIED MATRIALS COMPONENTS

AMAT 0100-09112 THERMOELECTRIC DRIVER

AMAT 0020-30872 0150-09555 0150-09556 PENEL MINI CONTROLLER 110 7 AMP

AMAT 0010-02517 WATER SUPPLY HOSE W/BRS FLARE FTGS CHAMB

AMAT 0140-00507 APPLIED MATRIALS COMPONENTS

AMAT 0150-00272 CABLE ASSY PCB REMOTE RECIPE SELECT

AMAT 0010-76968 ASSY,OTF CENTER FINDER EMITTER,300MM

AMAT 3455A HP DIGITAL VOLTMETER

AMAT AK97R000 079794 FUJIKIN VALVE

AMAT 0225-34555 CIRCUIT BREAKER 30 PNL MNT Q.C

AMAT 0020-24748 PIN DOWEL 375 DIA 2.88LG SST

AMAT 0020-03636 SCREW VESPEL, 8-32X.78

AMAT 3030-05876 MFC 8160 5SLM NH3 1/4VCR MTL

AMAT 0021-03061 SHIELD, INNER, TXZ

AMAT 0021-03877 FLAP, ANODIZED,TOP,THROTTLE VA

AMAT [932005] LENS GREEN

AMAT 0020-38329 COVER, HOLE, 200MM WAFER LIFT PIN

AMAT 0020-70255 BRACKET CENTERFINDER BOARD

AMAT MSS-CR-DT9 ARIES

AMAT 0020-32029 COVER, THROTTLE DRIVE

AMAT 0020-23922 APPLIED MATRIALS COMPONENTS

AMAT 0090-20042 ASSY,3 WAY VALVE

AMAT 1140-01088 PWRSP DC 20KW MASTER ONLY 20K 2223-003-Y

AMAT 0050-38105 WELDMENT, RIGHT H2O RETURN LINE (SHO ETC

AMAT TSPTW100 INFICON TRANSPECTOR TSP TW100

AMAT 3700-01063 ORING ID .926 CSD .070 VITON75 DURO

AMAT 3700-02143 ORING ID .421 CSD .139 VITON 75 DURO

AMAT 72-50044-00 APPLIED MATRIALS COMPONENTS

AMAT 0100-09112 THERMOELECTRIC DRIVER

AMAT 0140-09146 HARNESS ASSY SYS CONTROLLER FILTER

AMAT 0010-35160 HOSE, ASSY 3/8 ID X 36L, 1/2

AMAT 0140-00891 HARNESS ASSY, FACILITY WATER,

AMAT 0150-00458 CABLE ASSY VACUUM RELAY FILTER TO HARNES

AMAT 0020-70053 SECONDARY OPERATION WINDOW EXTRUSION

AMAT 54542A HP OSCILLOSCOPE

AMAT AK9YJ000 100103 FUJIKIN VALVE

AMAT 0680-01037 CB MAG SER 1P 120V 15A

AMAT 0020-24748 PIN DOWEL 375 DIA 2.88LG SST

AMAT 0020-20126 STAND-OFF, CERAMIC DC BIAS

AMAT 3030-07133 MFC 1660 50SCCM N2 1/4VCR MT

AMAT 0021-03061 SHIELD, INNER, TXZ

AMAT 0021-07077 APPLIED MATRIALS COMPONENTS

AMAT 0020-09255 TURN BUCKLE,CASS LOCK 125MM

AMAT 0020-38687 BRKT,OUTER HOUSING

AMAT 0020-76737 NUT, JAM, PC2 PED. HEIGHT ADJUSTMENT

AMAT SHB-BF II ASML 24V SERVICE

AMAT 0020-40044 CLAMP, BASE 150MM/200MM, PLATF

AMAT 0020-23932 PAD THIN POST COVER LIFT

AMAT 0090-20043 ASSY, 4 WAY-SINGLE VALVE

AMAT S1-1-1000POS KAISER GENERATOR

AMAT 0050-38106 APPLIED MATRIALS COMPONENTS

AMAT 0020-27206 CLAMP RING 8 JMF PADDED HTHU

AMAT 3700-01065 ORING ID 1.489 CSD .070 VITON

AMAT 3700-02144 ORING ID 9.237 CSD .103 VITON

AMAT 72-50060-00 APPLIED MATRIALS COMPONENTS

AMAT 0100-09131 ASSY LEVEL SENSE/TEMPURATURE P

AMAT 0140-09148 HARNESS ASSY., CONT. SYS

AMAT 0010-38860 HOSE ASSY, SUPPLY SIMP APPLIC, PH-I FAC

AMAT 0140-01120 APPLIED MATRIALS COMPONENTS

AMAT 0150-03007 CABLE ASSY, ENDPOINT CONTROL 300MM CONDU

AMAT 0020-09825 DOOR TOP

AMAT 6228B HP DUAL DC POWER SUPPLY

AMAT AKASX000 023717 FUJIKIN VALVE

AMAT 0680-01044 CIRCUIT BREAKER 2P 3A

AMAT 0020-25128 SHLDR SCREW HEATER W/COLLAR RING

AMAT 0020-20126 STAND-OFF, CERAMIC DC BIAS

AMAT 3030-07177 MFC 1660 500SCCM NF3 1/4VCR

AMAT 0021-03061 SHIELD, INNER, TXZ

AMAT 0021-10134 SLEEVE, EXTENDED, IR TEMP SENSOR

AMAT 0020-21716 PLUG, CVD/MONOLITH INTERFACE

AMAT 0020-42131 ADAPTER, HTR PLUG, WXZ

AMAT 0020-81807 EARTHING INTERFACE

AMAT 0020-41008 SHOE, HANDLE, TRANSFER CHAMBER

AMAT 0020-40044 CLAMP, BASE 150MM/200MM, PLATF

AMAT 0020-23932 PAD THIN POST COVER LIFT

AMAT 0090-20043 ASSY, 4 WAY-SINGLE VALVE

AMAT S1-1-1000 KAISER GENERATOR

AMAT 0050-75482 APPLIED MATRIALS COMPONENTS

AMAT 0020-27206 CLAMP RING 8 JMF PADDED HTHU

AMAT 3700-01066 O RING ID1.739 CSD.07VIT 75 DURO

AMAT 3700-02154 ORING ID .609 CSD .139 CHEMRAZ

AMAT 72-50062-00 APPLIED MATRIALS COMPONENTS

AMAT 0100-09136 wPCBA MINI DI/DO SHORT CIRCUIT

AMAT 0140-09744 HARNESS,THROTTLE VALVE HTR/TEMP SWITCH'S

AMAT 0010-38861 APPLIED MATRIALS COMPONENTS

AMAT 0140-10181 HARNESS,FUSE HOLDER, HOUSING S

AMAT 0150-03332 CABLE ASSY, TB2 TO FUSE HOLDER 16

AMAT 0021-36634 PANEL,LOWER FLOOR,DPS CHBR,POS"D",PH-II

AMAT COR5502U KIKUSUI DIGITAL OSCILLOSCOPE

AMAT AKEWA000 408212 FUJIKIN VALVE

AMAT 0680-01055 CB, 3P 120VAC 5A

AMAT 0020-29640 REST BUTTON,ADV. 101,3.4 MM,

AMAT 0020-75600 INSULATOR, 300MM PRECLEAN SHAFT TOP

AMAT 0225-97984 APPLIED MATRIALS COMPONENTS

AMAT 0021-03061 SHIELD, INNER, TXZ

AMAT 0021-36680 CLAMP SUPPORT BRACKET, DC POWE

AMAT 0020-22821 COVER WAFER MAP LED

AMAT 0020-55940 APPLIED MATRIALS COMPONENTS

AMAT 0021-21469 RING RESTRAINT, 1.574 DIA SHFT, 8 BESC

AMAT 0020-41012 HANDLE, LID TC

AMAT 0020-40723 ADAPTER, LHS/RHS PLATFORM

AMAT 0020-28487 A-1 MACHINE MFG.

AMAT 0090-20043 ASSY, 4 WAY-SINGLE VALVE

AMAT 3152012-041AB ADVANCED MASTER

AMAT 0050-75483 APPLIED MATRIALS COMPONENTS

AMAT 0020-27206 CLAMP RING 8 JMF PADDED HTHU

AMAT 3700-01068 ORING ID .987 CSD .103 VITON 7

AMAT 3700-02158 ORING ID .546 CSD .139 KALREZ

AMAT 9012-SC513 AS-568A-012 APPLIED MATRIALS COMPONENTS

AMAT 0100-09154 ASSY, MULT-EMISSION ENDPOINT B

AMAT 0150-08775 ASSY, FIBER OPTIC CABLE, EYED OES/IEP, W

AMAT 0010-39005 HOSE ASSY, APPLICATOR WATER LINE ASP+

AMAT 0140-21221 H/A, FRONT SERIAL BREAKOUT

AMAT 0150-03333 CABLE ASSY, TB2 TO FUSE HOLDER 17

AMAT 0021-38020 SECONDARY OPERATION WINDOW EXTRUSION

AMAT COR5502U KIKUSUI DIGITAL OSCILLOSCOPE

AMAT AMH73000 100087 FUJIKIN VALVE

AMAT 0680-01059 CB MAG SER 3P 120VAC 15A 50/60

AMAT 0020-29640 REST BUTTON,ADV. 101,3.4 MM,

AMAT 0200-18053 GUIDE, LIFT PIN

AMAT 0226-00714 MFC UNIT 8160 500SCCM O2 1/4VC

AMAT 0021-03061 SHIELD, INNER, TXZ

AMAT 0040-00217 TUBE WELDMENT PURGE LINE UPPER

AMAT 0020-37436 PLATE,COVER FLOWMETER

AMAT 0020-70644 INTERFACE PLUG,CHAMBER TRANSFE

AMAT 0021-35822 CLAMP ASP

AMAT 0020-75858 BLANK-OFF CENT. OTF, UPPER

AMAT 0020-41907 BRACKET, MONOCHROMETER SUPPORT

AMAT 0020-38280 APPLIED MATRIALS COMPONENTS

AMAT 0090-20044 ASSY, 4-WAY DOUBLE VALVE

AMAT TQSF2100 ECI QUALI SURF

AMAT LMS-1000 쿨화이트 COOL WHITE DC12V 15.8W

AMAT 0020-27218 CLAMP RING,COH Ti/TiN POISON H

AMAT 3700-01071 ORING ID 2.609 CSD .139 VITON7

AMAT 3700-02173 ORING ID 1.989 CSD .070 KALREZ

AMAT 9032-SC513 AS-568A-032 APPLIED MATRIALS COMPONENTS

AMAT 0100-09020 wPCB ASSY, FUSE BOARD

AMAT 0150-09100 CBL ASSY PWR LIQ SOURCE CONTROLLER

AMAT 0010-76189 MAIN WATER SUPPLY HOSE

AMAT 0140-38196 HARN. ASSY,BARATRON CABLE OVERPRESS,TANT

AMAT 0150-03340 CABLE ASSY, GROUND WIRE BRAID

AMAT 0190-09027 PANEL SHIELD SYSTEM ELECTRONICS

AMAT NPR-505L NP POWER PLASMA REACTOR

AMAT AMNEJ000 435882 FUJIKIN VALVE

AMAT 0680-01062 SW PRESS DIFF 2-12MM H2O SPDT MANOSTAR

AMAT 0020-31516 SCREW PAN HD,6-32X3/4, HAYNESALLOY

AMAT 0200-20170 CAP COIL SUPPORT RIGID

AMAT 0227-11914 MFC 8160 20 SCCM CF4 1/4VCR MTL NC

AMAT 0200-10073 INSULATOR,QUARTZ,200MM, SIMPLE CATHODE

AMAT 0040-04211 BRACKET, GAS LINE MTG BLOCK, D

AMAT 0020-92913 CLAMP WASHER

AMAT 0020-73301 APPLIED MATRIALS COMPONENTS

AMAT 0021-36008 BASE,HEATER,GIGA-FILL SACVD

AMAT 0020-76597 CASSETTE PLATFORM, Z DRIVE

AMAT 0020-76572 CLAMP, PLT REAR WATER LINE FAC I/F CENTU

AMAT 0020-39488 HINGE,LEFT,LID,PUMPING PLATE,DXZ

AMAT 0090-20134 ASSY VALVE 4 WAY SS

AMAT RACK-360AW ICP INDUSTRIAL COMPUTER

AMAT MB-G110 동일테크 이온아이저

AMAT 0020-27218 CLAMP RING,COH Ti/TiN POISON H

AMAT 3700-01081 ORING ID 2.050 CSD .103 VITON

AMAT 3700-02183 ORING ID .546 CSD .139 VITON B

AMAT 9110-SC513 AS-568A-110 APPLIED MATRIALS COMPONENTS

AMAT 0100-09110 wASSY MINI AI FILTER BOARD

AMAT 0150-09138 CABLE ASY SYS CONTROL.AC

AMAT 0140-09176 HARNESS ASSY, E-CHAIN PNEUMATIC, 300MM E

AMAT 0140-76171 H/A INTERFACE DELTEC UPS

AMAT 0150-09023 ASSY CABLE,VIDEO MTR SYS

AMAT 0190-09028 PANEL SHIELD SYSTEM CONTROLLER

AMAT NPR-507L (#1) NP POWER PLASMA REACTOR

AMAT AN6FM000 437923 FUJIKIN VALVE

AMAT 0680-01311 CB MAG 3P 120VAC 3A SERIES 50/

AMAT 0020-31516 SCREW PAN HD,6-32X3/4, HAYNESALLOY

AMAT 0200-20217 LAYBRINTH, FEEDTHRU COIL SUPPORT, VECTRA

AMAT 3030-01886 MFC 1660 100SCCM HE 1/4VCR MTL NC HOV

AMAT 0020-02050 STOP LOWER

AMAT 0040-07538 NEST 26 SLOT EP UNIVERSAL CASS

AMAT 0021-09516 PULLY,1/5 PITCH,15 GROVE,.37

AMAT 0020-75784 PLUG, LIFT POINT 300MM

AMAT 0021-36154 ADAPTER,RF FEEDTHRU,DTCU,DPS P

AMAT 0021-22189 APPLIED MATRIALS COMPONENTS

AMAT 0021-37155 SPACER, TERMINAL BLOCK

AMAT 0020-55569 APPLIED MATRIALS COMPONENTS

AMAT 3870-01283 VLVE 2 POSN SGL SOLENOID 24VDC M3X.5PORT

AMAT RACK-360AW ICP INDUSTRIAL COMPUTER

AMAT Y2113 TOKICO

AMAT 0020-28205 COVER RING, 6 101% HI-PWR COH

AMAT 3700-01083 0-RING ID .799 CSD VITON

AMAT 3700-02206 ORING ID 1.174 CSD .103 CHEMRA

AMAT 9113-SC513 AS-568A-113 APPLIED MATRIALS COMPONENTS

AMAT 0660-01106 CARD PC C386 CPU 25 MHZ *MSG*

AMAT 0150-09182 (#1) 0020-10345 CABLE ASSY,MINI CONTROLLER R5232

AMAT 0150-76382 CABLE ASSY,OMS BRD TO OMS INT BRD

AMAT 0150-00281 ROD HEATER-THERMOSTAT EXTENSIO

AMAT 0150-09069 ASSY RIBBON CABL, MFC HELIUM/ETCH

AMAT AX8560-1020 MKS OZONE GENERATOR

AMAT NPR-507L (#2) NP POWER PLASMA REACTOR

AMAT AP1RT001 435340 FUJIKIN VALVE

AMAT 0680-01370 CB MAG SER 1P 120V 10A 50/60HZ

AMAT 0690-01566 CLAMP FLG DBL-CLAW NW63,80,100 AL M8-HEX

AMAT 0200-35611 SCREW,LID LINER TXZ

 

B02-05-AE-MESA-