카테고리 없음

SPS GLOBAL supply available inventory list 11

SPS 2024. 5. 13. 17:23

Hello, We are SPS Global Surplus Equipment Specialist creating New Values!

We're uploading our supply available inventory list

We will always try to deliver the best quality with customer satisfaction first.

If you're interested or if there's a product you need

Please feel free to contact us!!

 

to contact

kyjang@semi-sps.com

+82 010-4955-5061

whatsapp:

https://whatsapp.com/channel/0029Vae5fP0DOQIagRvaNB1X

 

Business hours

09:00-18:00 KST Mon to Fri
Please send E-mail to us even if it's not our business hours! We'll get in touch with you ASAP:)

 

I hope you have a happy day today 

 

 

 

 

Canon Machinery Bestem D-02H Bestem D-02H

Suss Microtec Gamma 300084 Gamma 300084

AMAT 0200-06615 0200-06615 LID HPM Dual Gas Flat IEP 300MM DPS 232

Canon Machinery Bestem D-02H Bestem D-02H

Suss Microtec Gamma 255653 Gamma 255653

AMAT 0010-10033 0010-10033 PECVD Ceramic Wafer Lift Hoop

Canon Machinery Bestem D-02H Bestem D-02H

Suss Microtec Gamma 80 AK-40126 Gamma 80 AK-40126

AMAT Ceramic Shield

Canon Machinery Bestem D-02H Bestem D-02H

Suss Microtec Gamma 80 Gamma 80

AMAT 0200-09734 0200-09734 Cover, PEDESTAL, 150mm Ceramic

Canon Machinery Bestem D-02H Bestem D-02H

Semitool Semitool Raider ECD System Tool T239383 Semitool Raider ECD System Tool T239383

AMAT 0020-03423 0020-03423 RIE ETCH Pedestal

Canon Machinery Bestem D-02H Bestem D-02H

Semitool STORM T239537 STORM T239537

AMAT 0020-10771 0020-10771 "CVD Shower Head

/ PERF PLATE 150MM"

Canon Machinery Bestem D-02H Bestem D-02H

Semitool STORM 3 H92738 STORM 3 H92738

AMAT 0020-34118 0020-34118 Clamp Vespel OX/MLR/NIT Scored Fingers / Clamp Ring

Canon Machinery Bestem D-02H Bestem D-02H

Semitool SST 201 (Cintillio) 102893 SST 201 (Cintillio) 102893

AMAT Bare Aluminum Faceplate (For SiH4)

Canon Machinery Bestem D-02H Bestem D-02H

Semitool SST 742 F59353 SST 742 F59353

AMAT 0020-31613 0020-31613 "Cylinder, Lift, Alum, 150mm

/ Anodized AL Covering Pipe (RIE ETCH)"

Canon Machinery Bestem D-02H Bestem D-02H

Semitool SST 742 F106911 SST 742 F106911

AMAT 0020-31774 0020-31774 Cylinder HOT Inner

Canon Machinery Bestem D-02H Bestem D-02H

Semitool SEMITOOL SST-C-632-280-K Spray F161017 SEMITOOL SST-C-632-280-K Spray F161017

AMAT 0020-30481 0020-30481 Carrier Assembly

Canon Machinery Bestem D-02H Bestem D-02H

Semitool SRD 280S-6-1-E-LH 14504 SRD 280S-6-1-E-LH 14504

AMAT RIE Sputter ETCH Spacer

Canon Machinery Bestem D531t Bestem D531t

Semitool SRD 2300S-6-1-ML 8932.2 / 13666, 13668 SRD 2300S-6-1-ML 8932.2 / 13666, 13668

AMAT 0020-30347 0020-30347 Cylinder, External

Canon Machinery Bestem D531t Bestem D531t

Semitool SRD 270S-L C44916-2 SRD 270S-L C44916-2

AMAT PECVD GAS Distribufer Ceramic Shield

Canon Machinery Bestem D531t Bestem D531t

Semitool SRD 270S-6-1-E-ML C211397-2 SRD 270S-6-1-E-ML C211397-2

AMAT 0020-30085 0020-30085 Pumping Plate CVD Chamber

Canon Machinery Bestem D531t Bestem D531t

Semitool Semitool Storm 2 T238909 Semitool Storm 2 T238909

AMAT 0010-02862 0010-02862 150mm Susceptor

Canon Machinery Bestem D531t Bestem D531t

Semitool 430-S-4-1-ML-WP 11613 430-S-4-1-ML-WP 11613

AMAT 0010-70386 0010-70386 Stand Alone VGA Monitor Base

Canon Machinery Bestem D531t Bestem D531t

DNS FS-820 L 58630-0184 FS-820 L 58630-0184

AMAT CVD Chamber LID

Canon Machinery Bestem D531t Bestem D531t

DNS FS-820 L 58630-0185 FS-820 L 58630-0185

AMAT Chamber Slit Valve

Canon Machinery Bestem D531t Bestem D531t

DNS SS W60A 59700-6224 SS W60A 59700-6224

AMAT 0010-75100 0010-75100 ETCH Throttle Valve

Canon Machinery Bestem D531t Bestem D531t

Hitachi S-8820 2345436 S-8820 2345436

AMAT 12040-FE44-1007 12040-FE44-1007 ETCH Heated Gate Valve

Canon Machinery Bestem D531t Bestem D531t

Hitachi S-8820 2344918 S-8820 2344918

AMAT 0010-09340 0010-09340 Susceptor Lift

Canon Machinery Bestem D531t Bestem D531t

Hitachi S-3400N 340760-02 S-3400N 340760-02

AMAT 0100-09340 0100-09340 Susceptor Lift

Canon Machinery Bestem D531t Bestem D531t

Hitachi S-4500 2195229 S-4500 2195229

AMAT 0010-30029 0010-30029 P-Chuck Lift

Canon Machinery Bestem D531t Bestem D531t

Hitachi S-4800 (Type 2 model) 2644478 S-4800 (Type 2 model) 2644478

AMAT 0010-10033 0010-10033 Wafer Lift

Disco DFD6361 DFD6361

Hitachi S-5000 914-03 S-5000 914-03

AMAT 0010-09341 0010-09341 Wafer Lift

ECSAL Hydrotek Hydrotek

Hitachi S-5000H 1839394 S-5000H 1839394

AMAT 0010-09341 0010-09341 Wafer Lift

EO Technics BSM-263G BSM-263G

Hitachi S-9260A 1130-02 S-9260A 1130-02

AMAT 3870-01212 3870-01212 VALVE PNEU NW 40 / Load Lock Isolation Valve

EO Technics SLD-402G SLD-402G

Hitachi S-9360 0106-04 S-9360 0106-04

AMAT 3870-01215 3870-01215 Pneumatic Right Angle Valve / ETCH Bypass Isolation Valve

EO Technics SLD-402G SLD-402G

Hitachi S4700 REM 1389139 S4700 REM 1389139

AMAT ESVP-1002-NWB-98 ESVP-1002-NWB-98 Pneumatic Right Angle Poppet Valves

Escal Technologies HPS-360 HPS-360

Hitachi 7800H 2563305 7800H 2563305

AMAT AIV-1002-NWB AIV-1002-NWB Manual Angle Valve

Escal Technologies HPS-360 HPS-360

Hitachi S-9260A 1140-05 S-9260A 1140-05

AMAT ILVP-1002-NWB ILVP-1002-NWB Pneumatic Inline Valve

Escal Technologies HPS-5000 HPS-5000

Hitachi S-9200 - S-9200 -

MKS L2-40-AK-225-CLVNH L2-40-AK-225-CLVNH MKS Pneumatic Inline Valve

FEIN FOCUS FEIN FOCUS FXS 160.23 FEIN FOCUS FXS 160.23

Esec 2008XP 206010 2008XP 206010

AMAT 0100-09126 0100-09126 Remote Wiring Distribution Board

GENIE TBD TBD

Esec 2008XP 206632 2008XP 206632

AMAT 0010-76036 0010-76036 Mini Controller

Gentron GT-2500 GT-2500

Ultrafab WetBench QD72 15135-06 WetBench QD72 15135-06

AMAT 0015-09091 0015-09091 MCVD Lamp Driver / Asembly Lamp Driver

Gentron GT-2500 GT-2500

Accretech UF200 F02094JP UF200 F02094JP

AMAT 0010-76535 0010-76535 Logic Box

Gentron GT-2500 GT-2500

Accretech UF200 F12006MA UF200 F12006MA

AMAT 0010-09750 0010-09750 CVD RF Match

GENTRON GT2500WC GT2500WC

TEGAL Tegal 901 (Poly) 10764 Tegal 901 (Poly) 10764

AMAT 0100-09107 0100-09107 TEOS GAS Interface BD

Getech TBD TBD

TEGAL CS980 (980) 11403 CS980 (980) 11403

AMAT 0100-09106 0100-09106 Expanded GAS Panel Interface BD

HIKE TSURUGI TSURUGI

TEGAL CS980 (981) CS980-11669 CS980 (981) CS980-11669

AMAT 0100-09099 0100-09099 Chamber Interconnect BD

HIKE TSURUGI TSURUGI

Prometrix FT750-01 9209 FT750-01 9209

ENI OEM-6AM-1-B OEM-6AM-1-B OEM-6

HIKE TSURUGI TSURUGI

RECIF ANF8 ANF8

AMAT 0021-09758 0021-09758 Manifold Output

HIKE TSURUGI TSURUGI

RECIF BPP200A01 BPP200A01

AMAT 0021-23550 0021-23550 Manifold Input

HIKE TSURUGI TSURUGI

TEL Ofen Tel Minibatch P00000315001 Ofen Tel Minibatch P00000315001

AMAT 0020-30335 0020-30335 Quartz Tube Housing

HIKE TSURUGI TSURUGI

TEL Ofen Tel Minibatch P00000315002 Ofen Tel Minibatch P00000315002

AMAT 0015-09056 0015-09056 Bellows Assy, Wafer Solid

HIKE TSURUGI TSURUGI

AMAT eMax CT Plus Chamber 25596-07 eMax CT Plus Chamber 25596-07

AMAT 0015-09055 0015-09055 Bellows Assy, Susceptor Hollow

Jordan Valley JVX 6200 JVX 6200

AMAT Enabler Chamber 29196-08 Enabler Chamber 29196-08

AMAT 0021-10191 0021-10191 Plate Blocker Nitride / TEOS USG 200mm

Jordan Valley JVX 6200 JVX 6200

AMAT eMax CT Plus Chamber 30999-13 eMax CT Plus Chamber 30999-13

AMAT 0200-09072 0200-09072 Ceramic Shield, 200mm, Ceramic Ring

Jordan Valley JVX 6200 JVX 6200

AMAT eMax CT Chamber 04403-10 eMax CT Chamber 04403-10

AMAT 0200-09035 ? 0200-09035 ? PIN Wafer Lift 6"

Jordan Valley JVX 6200 JVX 6200

AMAT Axiom HT Plus Chamber 08595-16 Axiom HT Plus Chamber 08595-16

AMAT 0020-31659 0020-31659 Cover Vespel

Keysight 4072F 4072F

AMAT Enabler Chamber 11596-11 Enabler Chamber 11596-11

AMAT 0200-09637 0200-09637 Plug, Sic, Tapered Straight

Keysight 4072F 4072F

KLA Tencor P11 Surface Profiler 4970190 P11 Surface Profiler 4970190

AMAT 0020-03696 0020-03696 FLNGER, Flange_P5000

KLA T860 T860

PVA TePLA 300 AL PC 1394 300 AL PC 1394

AMAT 0020-09069 0020-09069 Lifting Pin, Viton TIP

KLA-Tencor Archer 300 AIM Archer 300 AIM

PVA TePLA 300 AL PC 1480 300 AL PC 1480

AMAT 0020-03597 0020-03597 Carrier 8" W/Flex

Kokusai Quixace Quixace

Bruker Veeco Dektak 8 23089 Veeco Dektak 8 23089

AMAT 0020-10728 0020-10728 Ceramic Shield Clamp

Kokusai Quixace (DJ-1206VN-DF) Quixace (DJ-1206VN-DF)

Fluidair Acid Etch Acid Etch

AMAT 0190-23077 0190-23077 "VOLTAGE SAG MONITOR ENCLOSURE 300MM

ULTIMA X HDP-CVD"

Kokusai Quixace 2 Quixace 2

LDS 1 Laserjet LDS 29 Laserjet LDS 29

AMAT 0010-39800 0010-39800 DC Power Supply

LINTEC RAD-2500 RAD-2500

LDS 3 LGS 200A 147 LGS 200A 147

AMAT 0980-00007 0980-00007 KEYBOARD 83-KEY PS2 W/16 TRA

Mattson Suprema Suprema

Linn High Therm KH-64-S FX039092 KH-64-S FX039092

AMAT 0021-20199 0021-20199 SHIM 1.0MM G-TYPE ENCAPSULATED MAGNET CL

MTRX METIOR METIOR

Matech Waveetch Waveetch

AMAT 0100-03502 0100-03502 CENTURA APC MATRIX BD

Nikon AMI-3500 AMI-3500

Rigaku System R3630 System R3630

AMAT 0100-00294 0100-00294 MAINFRAME SERIPLEX BACKPLANE BD

Onto Innovation AXI-940B AXI-940B

Tencor FLX-2908 0495-4398 FLX-2908 0495-4398

AE 3155053-007A 3155053-007A RFG 2000-2V

Onto Innovation AXI-940B AXI-940B

Bruker DektakXT - DektakXT -

LAM 810-099175-009 REV B 810-099175-009 REV B VIOP,PHASE 3

Onto Innovation QS330 QS330

Tencor PROMETRIX UV-1050 970171UV1050 10000AIC/PHI PROMETRIX UV-1050 970171UV1050 10000AIC/PHI

LAM 810-099175-011 REV B 810-099175-011 REV B VIOP,PHASE 3

SONOSCAN DF2200 DF2200

NIKON NSR-1505G7E 92077 NSR-1505G7E 92077

LAM 810-099175-011 REV A 810-099175-011 REV A VIOP,PHASE 3

SONOSCAN DF2200 DF2200

NIKON NSR-1755i7B 94527 NSR-1755i7B 94527

LAM 605-707109-001 605-707109-001 "LONTALK NETWORK INTERFACE ASSY

B105-0102 REV D VME-LTNI-S3 "

STI AT368 AT368

TEL INDY PLUS INDY PLUS BCD PLOY

LAM 810-068158-001 810-068158-001 ROBOT INTERFACE

STI AT368 AT368

AMAT P5000 P5000 Metal ETCH

LAM 810-072903-004 REV G 810-072903-004 REV G LONWORKS , PIO

SUNYANG AFR01 AFR01

THOMAS SWAN 3 X 2 GAN 3 X 2 GAN MOCVD

LAM 880-012536-101.A1 880-012536-101.A1 SYS68K/SASI-1 CPU // 880-12536-101.A1

SUNYANG AFR-01 AFR-01

Novellus Gamma 2100 Gamma 2100 ASHER

LAM 880-012537-101.A3 880-012537-101.A3 SYS68K/CPU-6VB // 880-12537-101.A3

SUNYANG AFR-01 AFR-01

FEI COMPANY "CLM-PLUS

(CRT-082)" "CLM-PLUS

(CRT-082)" FIB

LAM 810-017038-002 810-017038-002 SYS68K/SIO-2 REV.2 / SERIAL I/O BOARD

SUNYANG AFR-01 AFR-01

RUDOLPH AXI-S930B AXI-S930B Micro Inspection

LAM 810-017031-004 810-017031-004 ADIO

TechWing TW320 TW320

BROOKS MTX2000 MTX2000 WAFER SORTER

LAM 810-017075-003 810-017075-003 Gas Panel Interlock PCB

TechWing TW320 TW320

HITACHI N-6000 N-6000 NANO PROBER

LAM 810-015932 810-015932 PCB DIP Autotune Low Frequency

TechWing TW322 TW322

HITACHI S-5000 S-5000 SEM

LAM 810-015932-001 810-015932-001 PCB DIP Autotune Low Frequency

TechWing TW322 TW322

"DISCO

CORPORATION" DAD522 DAD522 Dicing Saw

LAM 810-17082-1 810-17082-1 16 CHANNEL HEAT/COOL BD

TechWing TW322 TW322

MSP CORP. 2300XP1 2300XP1 Particle Depositioning

LAM 810-017003-004 810-017003-004 PCB DIP High Frequency PCB Board

TEL ACT 12 SOD ACT 12 SOD

SEMILAB PMR_3000 PMR_3000 Dose Monitoring

LAM 810-073479-005 REV A 810-073479-005 REV A JETSTREAM GAS BOX MB

TEL INDY INDY

GAERTNER L115C-8 L115C-8 Ellipsometer

LAM 810-031325-002 REV B 810-031325-002 REV B 16 IGS MOTHER BOARD , DGF

TEL Indy A Indy A

NOVA "NOVASCAN 3090

P/N 390-10000-11" "NOVASCAN 3090

P/N 390-10000-11" Etch OCD Measuring

LAM 810-810193-103 REV C 810-810193-103 REV C MOTHER BOARD , VTM

TEL Indy A Indy A

NEXTEST MAGNUM II EV ICP MAGNUM II EV ICP Memories Tester

LAM 810-800081-015 REV D 810-800081-015 REV D P2 MB , VME ETCH

TEL Indy A Indy A

NEXTEST MAGNUM II ICP 1280 MAGNUM II ICP 1280 Memories Tester

LAM 810-800082-029 REV A 810-800082-029 REV A VME BREAKOUT , KIYO45

TEL Indy A Indy A

NEXTEST MAGNUM ICP 1280 MAGNUM ICP 1280 Memories Tester

LAM "810-800082-029 REV. B

810-800081-013 REV. A

101VMEJ106-9001 REV. B" "810-800082-029 REV. B

810-800081-013 REV. A

101VMEJ106-9001 REV. B" "VME BREAKOUT , KIYO45

P2 MB, VME

BUS TRONIC ASSY"

TEL Indy A Indy A

MOSAID M420501 M420501 Memory Tester

LAM 853-330476-001 853-330476-001 ASSY , RF FILTER , ESC , 9600

TEL Indy A Indy A

AUTEC ATC-70B-RS ATC-70B-RS Thermal Shock Tester

LAM PCB 000-061 REV M PCB 000-061 REV M 38A Elevator PCB

TEL Indy A Indy A

AMAT 0100-11002 0100-11002 Digital I/O B/D (P5000)

LAM 810-800256-004 REV E 810-800256-004 REV E NODE BOARD,TYPE 3

TEL Indy A Indy A

AMAT 0100-00396 0100-00396 ANALOG I/O Borad

LAM 810-800256-004 REV G 810-800256-004 REV G NODE BOARD,TYPE 3

TEL Indy A Indy A

AMAT 1400-00207 1400-00207 Verity Instruments Spectrometer SD1024DL

LAM 810-800256-004 REV F 810-800256-004 REV F NODE BOARD,TYPE 3

TEL Indy A Indy A

AMAT 0190-28658 0190-28658 VERITY, SD1024F-2-S

LAM 810-000839-003 REV B 810-000839-003 REV B ESC CURRENT MONITOR

TEL INDYPLS INDYPLS

AMAT 0190-06329 0190-06329 Common Junction BOX, Chamber AC Power

LAM 810-017015-001 REV A 810-017015-001 REV A WAFER EDGE SENSOR

TEL INDYPLS INDYPLS

AMAT 0010-13445 0010-13445 System- Monitor Base Assy

LAM 810-017016-001 REV E 810-017016-001 REV E STEPPER MOTOR DRIVER BD

TEL INDYPLS INDYPLS

AMAT 0190-17272 0190-17272 4-Channel Magnet Driver

LAM 853-190023-001 REV A 853-190023-001 REV A Controller Assembly 272072-00

Advantest 93000 LD04 93000 LD04

TEL INDYPLS INDYPLS

AMAT 0010-25469 0010-25469

LAM 514-220001-002 514-220001-002 PT CONTROL

Advantest 93000 LD05 93000 LD05

TEL INDYPLS INDYPLS

AMAT 0190-19143 0190-19143 HEATING PLATE

LAM 853-441591-002-C-254 853-441591-002-C-254 GAS BOX

Advantest 93000 LD06 93000 LD06

TEL INDYPLS INDYPLS

AMAT 0010-25481 0010-25481 e-Max CT+, CH Controller

LAM 38A ELEVATOR 38A ELEVATOR

Advantest 93000 LD07 93000 LD07

TEL INDYPLS INDYPLS

AMAT 0190-02076 0190-02076 Wafer Leak Detector

LAM 810-801130-005 810-801130-005 PCB, Assy TC & RTD

Advantest 93000 LD12 93000 LD12

TEL INDYPLS INDYPLS

AMAT AS00800-02 AS00800-02 MKS TeNTA cPCI SCOM-0800

LAM 810-102361-222 810-102361-222 CHAMBER MUX

Advantest V93000 LD96 V93000 LD96

TEL NT333 NT333

AMAT AS00700-02 AS00700-02 MKS TeNTA cPCI AIAO-0700

LAM 853-064887-010 853-064887-010 13.56 MHz RF Source Unit

Applied Materials 0040-62020 CMP Retaining Rings 0040-62020 CMP Retaining Rings

TEL P12-XLn P12-XLn

AMAT 0190-05410 0190-05410 Card Dual Industry Pack Carrier cPCI Bus

LAM 810-048219-021 810-048219-021 Pluse SMPL & Hold W/SYNC OUT

Applied Materials ENDURA 5500 METL-518 ENDURA 5500 METL-518

TEL P12-XLn P12-XLn

AMAT 0190-10156 0190-10156 DeviceNET Scanner B/D

LAM 810-802969-002 810-802969-002 300mm Heater Filter

Applied Materials CENTURA CBD1 CENTURA CBD1

TEL Precio Precio

AMAT AS00720-02 AS00720-02 MKS TeNTA cPCI PWRS-0720

LAM 810-225420-002 810-225420-002 PCBA, Lonworks, 6 Port RS-232, NODE

Applied Materials CENTURA EPI SIGE-507 CENTURA EPI SIGE-507

TEL Precio Precio

AMAT 220980106 220980106 LFS

LAM 853-038269-638 853-038269-638

Applied Materials P5000 BPTEO-03 P5000 BPTEO-03

TEL Precio Precio

AMAT 0010-17261 0010-17261

LAM 853-038269-653 853-038269-653

Applied Materials Uvision 5 BFI2500 Uvision 5 BFI2500

TEL PRECIO PRECIO

AMAT 4250-5E 4250-5E

LAM 853-067076-012 +839-046079-024 C 853-067076-012 +839-046079-024 C

ASML XT1900GI LASC762 XT1900GI LASC762

TEL PRECIO PRECIO

AMAT 0227-56392 0227-56392 AMAT 1 Heat Exchangeer

LAM 715-800332-028 715-800332-028

ASML Yieldstar S-200B HLS1100 Yieldstar S-200B HLS1100

TEL PRECIO PRECIO

AMAT 0190-22205 0190-22205 ENG SPECIFICATION Temp Controller

LAM 716-084752-023 716-084752-023

Axcelis Technologies Optima MD IMP203 Optima MD IMP203

TEL PRECIO PRECIO

AMAT 0190-26463 0190-26463 Temp Controller

LAM 715-022497-020 +790-022847-003 715-022497-020 +790-022847-003

Bruker D8 Fabline THK28002 D8 Fabline THK28002

TEL PRECIO PRECIO

AMAT 0190-26495 0190-26495 Temp Controller

LAM "CVBA-500BC/5-BEA-L

5-500pF 513KV"

Bruker D8 Fabline XRD4400 D8 Fabline XRD4400

TEL PRECIO PRECIO

AMAT 0190-23623-001 0190-23623-001 AE RF Navigator Match

LAM 799-550225-001 799-550225-001 "ALLIANCE VACUUM CASSETTE ELEVATOR

RH-14-6002-E100AL-SP

M600_L/L MODULE"

CFM Technologies FullFlow 1/99 8100 67R88V FullFlow 1/99 8100 67R88V

TEL PRECIO PRECIO

AMAT 0200-36425 0200-36425 Applicator Tube

TEL PBP-20I R1M0E0 PBP-20I R1M0E0 BACKPANEL

CFM Technologies FullFlow 1/99 8100 67R89V FullFlow 1/99 8100 67R89V

TEL Precio Precio

AMAT 0226-97904 0226-97904 THROTTLE VALVE

TEL MVME 147-023

Applied Materials 0230-09130 0230-09130 P5000 SPARE PARTS IDENTIFIER

Edwards QDP80 Drystar QDP80 Drystar Dry Vacuum pump with power box

KLA 900-01003-120 900-01003-120 Assy. Software System 8xxx V3.1.2

POWERTEC 9J5-360-371 9J5-360-371 SUPER SWITCHER TM SERIES POWER SUPPLY

Applied Materials 9200 (Spares for) 9200 (Spares for) IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT

Edwards QDP80 + QMB250F QDP80 + QMB250F Dry Vacuum pump combo with power box

KLA 710-661729-00 CD0 710-661729-00 CD0 S.A.T. RANDOM DEFECT PROCESSOR PCB FOR KLA 21XX

POWERTEC ASTEC 9J8-200-371 9J8-200-371 SUPER SWITCHER TM SERIES POWER SUPPLY

APPLIED MATERIALS 0230-00101 0230-00101 Precision etch 8300

Edwards QDP40 + QMB250F QDP40 + QMB250F Dry Vacuum pump combo with power box

KLA AIT FUSION UV (SPARES) AIT FUSION UV (SPARES) Hard Disk Drive with software for KLA AIT Fusion UV

POWERTEC ASTEC 9K2-300-372 9K2-300-372 SUPER SWITCHER TM SERIES POWER SUPPLY

APPLIED MATERIALS 0230-09130 0230-09130 Precision 5000 Mark II spare part identified

Edwards QDP80 + QMB250F QDP80 + QMB250F Dry Vacuum pump combo with power box

KLA Tencor 710-661729-00 710-661729-00 PC Board, KLA 21XX

POWERTEC ASTEC 9J12-130-371 9J12-130-371 SUPER SWITCHER TM SERIES POWER SUPPLY

APPLIED MATERIALS 0230-09259 0230-09259 Precision 5000 Mark II Mainframe and support equipment manual

Edwards / Seiko Seiki STP 1000C STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40

KLA - Tencor 259 259 Image Digitizer Assembly

POWERTEC ASTEC 6C32-EE-371 6C32-EE-371 SUPER SWITCHER TM SERIES POWER SUPPLY

APPLIED MATERIALS 079-1202-0D 079-1202-0D PR 5000 Advanced prev. And corrective main

Efector 500 500 Pressure sensor switch, programmable

KLA -TENCOR 7700 7700 CASSETTE PLATE + PCB 8"

PULNIX TM-7EX TM-7EX VIDEO CAMERA WITH FUJI NF35A-2 LENS

APPLIED MATERIALS 026-110-0B1 026-110-0B1 PR 5000 Func desc. Practice exercici and basic prev. maintenance procedures

ELCO MMC50-1 MMC50-1 POWER SUPPLY

KLA -Tencor 7700m (Spares) 7700m (Spares) Mirror Curved

Qualiflow AFC 50D AFC 50D Mass Flow Controller;Meter,Spectrometer,Gas Mix, control

Applied Materials Baccini Baccini Misc parts For Baccini Solar Line - see attached list

ELCO CO. LTD K50A-15 K50A-15 POWER SUPPLY

KLA -Tencor 7700m 7700m p/n 199958 Rev F PCB PSF Driver SFS75

Raytheon ELC-14947 ELC-14947 Directed light assembly, for ESI

Applied Materials 0050-76664 REV A 0050-76664 REV A GAS LINE CHAMBER 2 PROCESS WC UPPER MIXE

ELCO CO. LTD K SERIES K SERIES POWER SUPPLY

KLA -TENCOR 7700M (Spares) 7700M (Spares) CONCAVE MIRROR 201969 - Optical part from KLA 7700M Surfscan

Renishaw RGH24X30A00A RGH24X30A00A Encoder Head NEW IN BOX

Applied Materials 0020-20919 REV C 0020-20919 REV C COVER CVD POST

Elind KL 1200W KL 1200W Laboratory Power supply

KLA -Tencor 8100 (Spares) 8100 (Spares) load lock assembly for CD-SEM

Rorze RR304L90 RR304L90 Wafer handling robot, with 5 ceramic robot blades

Applied Materials 0150-21344 0150-21344 CHAMBER D INTERCONNECT EMC COMPLIANT

Elind 3232 3232 Laboratory power supply 0-3,2A current adj - 0 - 32 V voltage adj

KLA Tencor 213780 REV C 213780 REV C PCB PFE 4K MASK ASSY SFS 7500

Rorze BERC-RD023MS BERC-RD023MS 2P MICRO STEP DRIVER

Applied Materials 0010-00557 REV A 0010-00557 REV A Heat Exchanger

Elind 328 328 Laboratory power supply 0-0,8A current adj - 0 - 32 V voltage adj

KLA Tencor 244143 REV B 244143 REV B PCB ADC PFE I/F 576 ASSY

Roth & Rau SiNA (Spare Parts) SiNA (Spare Parts) Spare Parts from PECVD system for deposition of Silicon Nitride

Applied Materials 0040-35966-P1 0040-35966-P1 WLDMT,LAMP HOUSING,RTP CHMBR

ENI OEM-6J OEM-6J RF GENERATOR

KLA TENCOR 720-07335-000 720-07335-000 ADVANTECH COMPUTER ICP-6751 FOR KLA 81XX CD SEM

SAMSUNG MR16R0828AN1-CKB MR16R0828AN1-CKB 128 MB/8 RAM

Applied Materials 0240-20611 REV E 0240-20611 REV E KIT SLIT VALVE PER CHAMBER REV E

ENI HF-3000-50 HF-3000-50 HARMONIC FILTER ASSY

KLA TENCOR 8100 8100 PART 740 05584 000 C CONNECTOR INTERFACE

Sankei Giken TCW-12000 CV TCW-12000 CV Process Module Chiller

Applied Materials 0040-13659 REV P1 0040-13659 REV P1 BLOCK, MTG

Enidine MB21539 MB21539 Shock Absorber

KLA TENCOR SFS6400 MECHANICAL CALIBRATION Document Number 238 SFS6400 MECHANICAL CALIBRATION Document Number 238 WAFERSURFACE ANALYSIS SYSTEM CUSTOMER MAINTENANCE REFERENCE

SANYO DENKI RBA2C-202 RBA2C-202 SERVO DRIVER MODULE

Applied Materials 0020-21089 0020-21089 SHIELD

ERACOND X3 X3 MINIATURE AIR CYLINDER

KLA TENCOR Surfscan 64X0 Calibration Procedure Surfscan 64X0 Calibration Procedure Surfscan 64X0 Calibration Procedure

SCANLAB RTC 2 Type XY-01 RTC 2 Type XY-01 PC INTERFACE BOARD

Applied Materials 0190-20015 REV E 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR

ERACOND Z3 M20 PD 50 M20 PD 50 MINIATUR AIR CYLINDER

KLA TENCOR surfscan 64XX optical alignments Document Number 236 surfscan 64XX optical alignments Document Number 236 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE

SCFH AIR 100 PSIG 100 PSIG

Applied Materials 0050-20072 REV B 0050-20072 REV B GAS LINE CHAMBER 3 HEATER MFC 1 VCR

ESI 44 44 COMPLETE SET OF LASER TRIMMER SPARE PCBS AND PARTS

KLA TENCOR SFS6x00 MECHANICAL CALIBRATION Document number 200 SFS6x00 MECHANICAL CALIBRATION Document number 200 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE

SEEKA UM-T50DT UM-T50DT PHOTO SENSOR

Applied Materials 0050-76652 REV A 0050-76652 REV A GAS LINE CHAMBER 2 PROCESS MFC 19 MIXED

ESI 29286 29286 ESI pcb Servo Preamp

KLA TENCOR 7700M 7700M COMPUTER HARD DISK

Seiko SDI 4000 SDI 4000 operation manual for SDI 4000 Semiconductor process evaluation SEM

Applied Materials 0020-20626 REV C 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE

ESI 29282 29282 ESI pcb Transducer Preamp

KLA TENCOR 720-05721000 720-05721000 CONTROL CHASSIS FOR KLA 8100 Column Control Chassis

Seiko Seiki SCU 301H SCU 301H Turbo Pump Controller Unit

Applied Materials 0090-20042 REV D 0090-20042 REV D ASSY 3 WAY VALVE

ESI 43175 43175 4 phase encoder logic assy

KLA TENCOR 720-05887-000 720-05887-000 MCP DETECTOR CONTROL CHASSIS

Seiko Seiki SCU-1000C SCU-1000C Controller for Seiko Seiki STP 1000C Turbo pump

Applied Materials 0020-20483 REV E 0020-20483 REV E HUB LAMP CORNER COVER

ESI 929284 929284 MAX Velocity control board

KLA TENCOR 720-05887-000 720-05887-000 MCP DETECTOR CONTROL CHASSIS

SEKISUI VANTEC SIGMA 200 K1 VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping box

Applied Materials 0140-20502 REV D 0140-20502 REV D HARN ASSY AC 2-PHASE DRIVER

ESI 40898 40898 ESI pcb Interrupt control pcb

KLA TENCOR 7700 M 7700 M MODEL 2214-30SLOTT

Semco C60 C60 Cleanstar PFA Valve C608075305A12HPW C60 HPW

Applied Materials 0020-20523 0020-20523 COVER

ESI 42328 42328 ESI pcb Precharged Servo Preamp

KLA TENCOR 720-05888-000 720-05888-000 TFE GUN CONTROLLER CHASSIS

Semco 156 MM WAFER BOAT 156 MM WAFER BOAT Solar Wafer (156mm) Quartz Rack/Holder for a Furnace

Applied Materials 0240-70416 REV C 0240-70416 REV C KIT BLOW OUT VALVE PER CHAMBER

ESI 29278 29278 ESI pcb Power Amplifier driver for ESI 44 fuser

KLA TENCOR HA-200 HA-200 RADIATION POWER SYSTEMS INC. Mercury Lamp psu FOR KLA 2XX reticle inspection systems

Semco Wafer Boat Wafer Boat Solar Wafer (156mm) Quartz wafer boat

Applied Materials 0190-20015 REV E 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR

ESI 29800 29800 Driver power supply

KLA TENCOR 7700M (Spares) 7700M (Spares) PIN DIODE PRE AMP PCB

Semco wafer boat wafer boat Solar Wafer (156mm square) Quartz Rack/Holder for a Furnace

Applied Materials 0190-20015 REV D 0190-20015 REV D VALVE / MANIFOLD

ESI 41751 41751 Transducer Buffer PCB for ESI model 44 laser fuser

KLA TENCOR 7700M (Spares) 7700M (Spares) Optical sub-assembly

Semco Wafer Boat Wafer Boat Solar Wafer Quartz wafer boat, 5"

Applied Materials 3830-01018 REV 3830-01018 REV LABEL LASERTAB MARKER WHT POLYEST LAM

ESI 42251 42251 Approach Control PCB for ESI 44

KLA TENCOR 7700M (Spares) 7700M (Spares) Lens assembly

Semitool PA7230M PA7230M SRD Rotor

Applied Materials 3830-01034 REV 3830-01034 REV LABEL LASERTAB MARKER WHT POLYEST LAM

ESI 29292 29292 ESI pcb Position Encoder Logic for ESI 44 fuser

KLA TENCOR 7700 7700 Mechanical part

Semitool A72-20M A72-20M SRD Rotor

Applied Materials 0020-20626 REV C 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE

ESI 42119 42119 ESI pcb 4 Phase Control Module

KLA- TENCOR 720-05721-000 720-05721-000 Column Control Chasis for KLA 8100 cd sem

Sensarray 1530D-8-0023 1530D-8-0023 Process Probe Instrumented Wafer

Applied Materials 3870-01281 REV 3870-01281 REV VALVE BLANK PLATE ASSY FOR SMC P/N NVJ11

ESI 42253 42253 ESI pcb Power Amplifier driver for ESI 44 fuser

"KLA-TENCOR " 242163 Rev B PCB ADC-PFE Interface S76 242163 Rev B PCB ADC-PFE Interface S76 PCB FOR KLA 7700M

Sensarray 1530D-8-0023 1530D-8-0023 Process Prober Instrumented Wafer

Applied Materials 3870-01284 3870-01284 VALVE BLANK PLATE ASSY FOR SMC P/N NVJ3023

ESI 42252 42252 ESI pcb Acceleration Control Assy for ESI 44 fuser

"Kla-Tencor " AIT 1 AIT 1 Network Card PCB

Shimaden SR91-8P-90-1N0 SR91-8P-90-1N0 Temperature Regulator

Applied Materials 0090-20042 REV D 0090-20042 REV D ASSY 3 WAY VALVE

ESI 24971 24971 Manual Functions PC Board FOR ESI MODEL 44 LASER TRIMMER

"KLA-Tencor " 7700M (Spares) 7700M (Spares) Electro-optical assembly for KLA Surfscan 7600 and 7700

Shindengen SDC05150G SDC05150G 5V 150 A Regulated power supply, Advantest T5335P

Applied Materials 0190-20015 REV E 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR

ESI 41207 41207 IEEE 488 Interface FOR ESI 44 LASER TRIMMER

KLA-TENCOR 259 (spare parts) 259 (spare parts) Reticle Inspection - SPARE PARTS

Shinmei Keiki 0-1 kgf/cm2 0-1 kgf/cm2 pressure gauge

Applied Materials 0020-20626 REV C 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE

ESI 48503 48503 Linear motor/Laser Control PC Board FROM ESI MODEL 44 TRIMMER

KLA-Tencor Roll-a-Lift Roll-a-Lift KIT 2135,2138,2230 MOVE

SHOWA 341 341 Laboratory Power Supply - 4 channel

Applied Materials Endura 5500 (spare parts) Endura 5500 (spare parts) Endura 6" process kit for Degas Chamber, NEW, in AMAT box , including 0020-28140 and other parts

ESI 24961 24961 Extender Board PC Board w/ cables FOR ESI 44 LASER TRIMMER

KLA-Tencor 655-650504-00 655-650504-00 8 INCH CHUCK ASSY FOR KLA 2132

SHOWA ELECTRONICS 511-16 511-16 REGULATED DC POWER SUPPLY

Applied Materials ® 0230-09258 B 0230-09258 B P5000 Mk II Mainfame support and equipment manual cleanroom July 1994

ESI 42356 42356 S&R Interface PC Board FOR ESI MODEL 44 LASER TRIMMER

KLA-TENCOR 5xxx Spare Parts 5xxx Spare Parts Set of Spare Parts from a KLA 5015

SKF 6002-2Z 6002-2Z bearings

Applied Materials ® 026-105-03 C 026-105-03 C P5000 Mk II Functional description training manual

ESI 24955 24955 Scanner Module PC Board for ESI 44 fuser

KLA-Tencor 710-401249-01 Rev F 710-401249-01 Rev F DRIVER BOARD for KLA 5xxx

SMC RL17858 1030567 RL17858 1030567 0.8MPS RODLESS PNEUMATIC CYLINDER *NEW*

Applied Materials ® 079-109-0D 079-109-0D P5000 Mk II Advanced calibration proceedures manual Jan 1995

ESI 41506 41506 Bridge Calibrator PCB for ESI 44 LASER TRIMMER

KLA-Tencor 710-401249-01 Rev F 710-401249-01 Rev F Driver Board for KLA 5xxx

SMC ZX1101-K15LZB-D21L-X121 ZX1101-K15LZB-D21L-X121 Vacuum GENERATOR ZXF35

Applied Materials ® 079-102-0D 079-102-0D P5000 Mk II Advanced preventive and corrective maintenance Apr 1996

ESI Power Assy Power Assy Power supply assy, ESI 44/4400

KLA-Tencor 750-40426.. 5xxx Spare Part 750-40426.. 5xxx Spare Part BIT 3 COMPUTER COP for 5xxx Spare Part

SMC CDRB2BWU20-270S CDRB2BWU20-270S ACTUATOR, ROTARY, VANE TYPE

Applied Materials ® 026-110-0B.1 026-110-0B.1 P5000 Mk II Functional description , practice exercises and basic maintenance proceedures

Eurotherm 2408f 2408f Temperature controller

KLA-Tencor 710-401536-00 FOR kla 5XXX 710-401536-00 FOR kla 5XXX ASSY NO 401536 00 ENCODER INTERFACE

SMC IRS_056/09/GT IRS_056/09/GT RODLESS PNEUMATIC CYLINDER *NEW*

AP & S TwinStep-B H3P04 TwinStep-B H3P04 Semi-Automatic Wet Bench, used for H3PO4 with a 2 stage Megasonic and QDR

Applied Materials ® 079-018-05 079-018-05 P5000 Mk II Functional description Practice exercises

Festo 150857 SME-8-S-LED-24 150857 SME-8-S-LED-24 Proximity switch

KLA-Tencor 710-401249-00 REV E for kla 5xxx 710-401249-00 REV E for kla 5xxx Driver Board for KLA 5xxx

SMC CDRB2BWU20-270S CDRB2BWU20-270S ACTUATOR, ROTARY, VANE TYPE

Applied Materials Opal 7830i Enhanced Opal 7830i Enhanced CD-SEM

Applied Materials ® 0230-09258B 0230-09258B P5000 Mk II Mainframe and Support Equipment Manual

FSI Excalibur ISR & EOS Excalibur ISR & EOS Manual

KLA-Tencor 710-404146-00 REV A for KLA 5XXX 710-404146-00 REV A for KLA 5XXX ASSY BOARD FOR KLA 5XXX

SMC MHF2-12D1R MHF2-12D1R SMC cylinder

Applied Materials Mirra Mesa Mirra Mesa Oxide CMP system, with SMIF

Applied Materials ® 0230-00103 0230-00103 Precision Etch 8300 Corrective Maintenance Rev 3

FSI Proteus 11 Proteus 11 Manual

KLA-Tencor 750-400159-00 REV A for KLA 5xxx 750-400159-00 REV A for KLA 5xxx MATROX VIP 1024 for a KLA 5XXX overlay system

SMC CDQSWB20-35DC CDQSWB20-35DC COMPACT CYLINDER

Applied Materials SEMVISION G3 Lite SEMVISION G3 Lite Defect Review SEM

Applied Materials ® 0230-20005 0230-20005 Endura Operations and Programming Training Course Student Workbook

FSI Chemfill systems Chemfill systems Manual

KLA-Tencor 730-400083-00 REV G for a KLA 5xxx 730-400083-00 REV G for a KLA 5xxx PZT CONTROLLER for a KLA 5xxx system

SMC ECQ2B32-10DC ECQ2B32-10DC ACTUATOR, 32MM CQ2 DOUBLE-ACTING

Applied Materials Verity 2 Verity 2 CD MEASUREMENT SEM

Applied Materials ® 0021-35163 Rev A 0021-35163 Rev A Gold-plated RTP Reflector Plate, 200 MM, chamber bottom

FSI Excalibur Excalibur Manual

KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx 712-404056-00 Rev B for a KLA 5xxx ASSY BOARD for a KLA 5xxx overlay system

SMC CQ2B25-25D CQ2B25-25D ACTUATOR CYLINDER

Camtek EM3 EM3 TEM and SEM sample preparation system

Applied Materials ® 0190-33289 0190-33289 RTP CHAMBER LAMP

FSI SATURN & TITAN SATURN & TITAN Manuals

KLA-Tencor 710-400412-00 Rev K 710-400412-00 Rev K PCB for a KLA 5xxx system

SMC CQ2B25-20DC CQ2B25-20DC ACTUATOR, CQ2 COMPACT CYLINDER

CAMTEK XACT XACT TEM/STEM sample preparation system

ASAHI TOOLS K-2 K-2 PRECISION WRENCH

FSI Mercury MP Mercury MP Operation and Maintenance Manual

KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx 712-404056-00 Rev B for a KLA 5xxx PCB for a KLA 5xxx system

SMC ECDQ2B32-30D ECDQ2B32-30D CQ2 COMPACT CYLINDER

Entegris RSPX-EUV-036 RSPX-EUV-036 Reticle Direct Purge Cabinet

ASAHI TOOLS K-2 K-2 PRECISION WRENCH

FSI Excalibur ISR Excalibur ISR Manual

KLA-Tencor 750-400339-00 Rev H from a KLA 5xxx 750-400339-00 Rev H from a KLA 5xxx PCB for a KLA 5xxx system

SMC ECDQ2B32-50D ECDQ2B32-50D COMPACT CYLINDER

Expertech CTR-200 CTR-200 Wet/Dry Oxidation Annealing System

Asco SC8210G004 SC8210G004 Solenoid Valve, 1 In, Orifice 1 In, Brass

FSI 912629 912629 Manual

KLA-Tencor 073-401-320 for a kla 5xxx 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system

SMC MXS16-30 AS MXS16-30 AS cyl, slide table, MXS/MXJ GUIDED CYLINDER

Extraction Systems TMB 150 TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer

Asco SC8210G93 SC8210G93 Solenoid Valve,

FSI 904602-200 904602-200 Manual

KLA-Tencor 750-404260 for KLA 5XXX 750-404260 for KLA 5XXX BIT 3 COMPUTER COP for 5xxx Spare Part

SMC CDQ1B32-40D CDQ1B32-40D CYL compact, CQ2 COMPACT CYLINDER

GL Automation IDSCOPE IDSCOPE Wafer bar code reader

ASM 2890224-21 2890224-21 Converter DTC

FSI Excalibur Excalibur Manual 905364-200

KLA-Tencor 073-401-320 for a kla 5xxx 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system

SMC CDQ1B40-20DM CDQ1B40-20DM CYLINDER compact, CQ2 COMPACT CYLINDER

Hitachi FB 2100 FB 2100 FIB SEM

ASM 2506556-21 2506556-21 Processor DTC PCB

FSI Excalibur ISR Excalibur ISR Manual Prints & Product Structures

KLA-Tencor POWER SUPPLY LAMBDA

SMC DF9N DF9N VALVES

KARL SUSS MA 150 MA 150 Mask Aligner (Spare Parts)

ASM AS899 (Spare Parts) AS899 (Spare Parts) Stock of Spare Parts for ASM Die Sorters / Pick and place Die Bonders

FSI Booster Pump Booster Pump Manual

KLA-Tencor 851391-101 851391-101 LH RESEARCH

SMC CDY1S15H CDY1S15H TESTED

Karl Suss MA 200 MA 200 Mask Aligner with CIC1000 lamp housing

Astec VS3-D8-D8-02 VS3-D8-D8-02 Power Supply 2000 watts

FSI Saturn MP Saturn MP Manual 500539-001

KLA-Tencor JDS-Uniphase 2214-30 SLQ TT JDS-Uniphase 2214-30 SLQ TT LASER FOR KLA 7700 SURFSCAN

SMC ULUSP-00005 ULUSP-00005 PNEUMATIC MANIFOLD BASE BLOCK with SMC VL-14 VL-15 VL-16

KARL SUSS MA 56 MA 56 Mask Aligner

Astec VS1-L3-02 (-335-CE) VS1-L3-02 (-335-CE) Power Supply 1500 watts

FSI Saturn MP Saturn MP Manual 500539-004

KLA-Tencor 259 (Spare parts) 259 (Spare parts) PCBs for reticle inspection system

SMC CY 4R08 CY 4R08 CY3B15-300 CYLINDER

KARL SUSS MJB-3 MJB-3 Mask Aligner

Astec VS3-D4-B4-22 (-447-ce) VS3-D4-B4-22 (-447-ce) Power Supply 2000 watts

FSI Booster Pump Booster Pump Manual

KLA-Tencor TFE Gun Controller TFE Gun Controller Gun Controller Chassis Part No 720-05888-000

SMC ZPT25US-B5 ZPT25US-B5 Suction cups

Karl Suss Micro Tec MA 200 MA 200 Mask Aligner with CIC1000 lamp housing

Astec VS1-L5-02 (-452-ce) VS1-L5-02 (-452-ce) Power Supply

FSI Proteus 1 Proteus 1 Manual

KLA-Tencor 8100 (Spares) 8100 (Spares) Bracked Lift Keyboard KLA Part 740-03393-000

SMC WO 36517 WO 36517 RODLESS CYLINDER

KLA-TENCOR 2122 2122 Brightfield Wafer Defect Inspection System

Astec VS3-C2-C2-C2 VS3-C2-C2-C2 Power Supply 2000 watts (-450-CE)

FSI Saturn OC Saturn OC Manuals

KLA-Tencor 7700m (spares) 7700m (spares) Mirror Assy Flat

SMC CMFN20-50 CMFN20-50 AIR CYLINDER

KLA-Tencor Surfscan 4500 Surfscan 4500 Unpatterned Wafer Surface Inspection

Astec VS3-C2-C2-02 VS3-C2-C2-02 Power Supply 2000 watts (-450-CE) 5.2V FOR CREDENCE DUO TESTER

FSI ISR Maual ISR Maual In Situ Rinse Prints & Parts Structures Manual

KLA-Tencor 7700m (Spares) 7700m (Spares) p/n 186392A PCB Controller Handler

SMC CDGBN20-204 CDGBN20-204 AIR CYLINDER

LAMBDA PHYSIK Novaline K2005 Novaline K2005 248 nm excimer laser for ASML /300

Astec VS3-C8-A8-02 (-451-CE) VS3-C8-A8-02 (-451-CE) Power Supply 2000 watts FOR CREDENCE DUO TEST SYSTEM

FSI Excalibur Excalibur Vapour Phase Processing system Supplementary training manual

KLA-tencor 7700m (Spares) 7700m (Spares) 18458 Rev B $ CH Motor Control

SMC CDM2BZ20-125 CDM2BZ20-125 AIR CYLINDER

LEITZ ERGOLUX AMC -LIS ERGOLUX AMC -LIS Inspection microscopes

Astec VS1-L3-02 (-435-CE) VS1-L3-02 (-435-CE) Power Supply 1500 watts

FSI PLC Expansion PLC Expansion PLC expansion Project Manual

KLA-tencor 7700m (Spares) 7700m (Spares) 210617 rev B PCB Filter Optical

SMC CMFN20-50 CMFN20-50 AIR CYLINDER

Liebherr FKV 3610 FKV 3610 Fridge for the safe storage of photoresist

Astec VS1-D8-02 (-436-CE) VS1-D8-02 (-436-CE) Power Supply 1500 watts

FSI HELIOS 52 HELIOS 52 Prints & Products structures Manual

KLA-Tencor 7700m (Spares) 7700m (Spares) p/n 099660 Handler back plane PCB

SMC CDM2RA20-190 CDM2RA20-190 AIR CYLINDER

LOTUS Spray Cleaner Spray Cleaner WET Clean for parts

Astec Powertec 9K2-300-372 9K2-300-372 Super Switcher Power Supply

FSI 500539-004 500539-004 Parts & Structures Manual

KLA-Tencor 7700m (Spares) 7700m (Spares) p/n 210595 Rev B Optical Filter PCB

SMC CDG1FA20-222 CDG1FA20-222 AIR CYLINDER

MDC (Materials Development Corp.) DUO CHUCK CSM16 DUO CHUCK CSM16 CV Measurement system

Asyst 1150-V1315S 1150-V1315S SMIF Load port 150mm for Lam 4620

FSI Saturn Saturn Manual General

KLA-Tencor 7700m 7700m 242163 Rev B PCB ADC-PFE Interface S76

SMC CDJ2F16 CDJ2F16 AIR CYLINDER

Microcontrol MWE Plus MWE Plus UV Wafer Eraser with cassette loading

Asyst 1150-V1315S 1150-V1315S SMIF Load port 150mm for Lam 4620

FSI Mercury MP Mercury MP Spray Processing system Operation & Maintenance Manual

KLA-Tencor 7700m (spares) 7700m (spares) p/n 213780 Rev C PCB MASK SFS

Sorensen SS200-S0120 SS200-S0120 Power Supply Megatest Part number 113849

NIKON OptiStation 3 OptiStation 3 Wafer Inspection Microscope

Athena 16C-B-S-0-23-00 16C-B-S-0-23-00 Temperature / Process controller

FSI Chemfill systems Chemfill systems Model 1000 CDM/ PPS Manual Rev D

KLA-Tencor 7700m (spares) 7700m (spares) Fresnel Lens / Mirror

SORENSEN 220 VOLTS 220 VOLTS POWER SUPPLY

PlasmaTherm SLR 740 SLR 740 Dual Chamber RIE / Plasma etch

Axcelis 17091650 17091650 SUPP RACK

FSI Chemfill systems - Manual Chemfill systems - Manual Model 1000-4 PLC CDM Supplementary Training Package

KLA-TENCOR 3005503 3005503 OPTICAL ASSY for kla 7700 and 7600 surfscans

Special Optics Beam Enlarger for Argon Ion Laser Beam Enlarger for Argon Ion Laser Beam Enlarger for Argon Ion laser

PMS Liquitrack 776200 Liquitrack 776200 Non volatile residual Monitor for water-quality checking

Axcelis 17S2467 17S2467 DISK

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) Spares for DUV photoresist coater / developer track

KLA-TENCOR AIT 1 (SPARES) AIT 1 (SPARES) Lens Assembly in transport box

Special Optics Fourier Transform Lens Fourier Transform Lens Fourier transform Lens for Argon Ion laser

Poly Design Inc. Custom Custom Heated Furnace Quartz Boat storage / drying system

Baccini Wafer Boats Wafer Boats Spares for Baccini solar cell manufacturing line

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) staubli rx 90 robot control unit 308998-001 RX 90 CONTR.1

KLA-Tencor Hamamatsu R1924A Hamamatsu R1924A Photomultiplier

Special Optics Half silvered mirror 10" X 14"

SemiNet Automation Infinity SACS 251216-120-CE Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Wafer Stocker

Beckhoff BK9000 BK9000 Ethernet interface module

FSI Polaris 3500 (Spares) 294157-200 REV C Polaris 3500 (Spares) 294157-200 REV C PCB, MODULE DRIP AND GUARD INPUTS

KLA-Tencor 2132 (8 inch Wafer Chuck Assembly) 2132 (8 inch Wafer Chuck Assembly) Ceramic Wafer chuck, 8"

Special Optics Custom Custom Motorized Iris 6"

Semitool ST-240 ST-240 Spin Rinse Dryer

Beckhoff KL9050 KL9050 PLC Module

FSI Polaris 3500 (Spares) IKEGAMI PM-930A Polaris 3500 (Spares) IKEGAMI PM-930A PICURE MONITOR

KLA-Tencor Compumotor M575L11 Compumotor M575L11 Stepping motor drive

Special Optics Mirror, 9" X 7"

Semitool ST-921R-AA ST-921R-AA Spin Rinse Dryer

Beckhoff KL9020 KL9020 Ethernet interface

FSI Polaris 3500 (Spares) VT106 Polaris 3500 (Spares) VT106 10 INCH VGA MONOCHROME MONITOR

KLA-Tencor 251739 251739 CH3 PMT OPTICS ASSY AIT2

Special Optics APOD #113

Semitool ST-921R-AA ST-921R-AA Spin Rinse Dryer

BELDEN 8219 IC20 IC20 BRAID R-58A/U

FSI Polaris 3500 (Spares) IBM 8228 P/N 6091014 Polaris 3500 (Spares) IBM 8228 P/N 6091014 Multistation Access Unit

KLA-Tencor 710-013838-00 Rev L 710-013838-00 Rev L PCB Universal Video Mux for KLA 2xx reticle inspection systems

Special Optics Beam expander

Solitec 5110C 5110C Manually loading Photoresist Spin Coater

Bellows 10.01.06.00878 10.01.06.00878 Bellows suction cup 9mm, pack of 12

FSI Polaris 3500 (Spares) Proteon P7228 rev h Polaris 3500 (Spares) Proteon P7228 rev h PROTEON PRONET P7228 8 PORT

KLA-Tencor 710-039524-01, rev C 710-039524-01, rev C Alignment Processor 2 , 210e series PCB

SQUARED SBO-2 SBO-2 SWITCH

SPTS Omega 201 Omega 201 Plasma Dry etcher (For spares use)

Bimba Ultran US-1737.125-S US-1737.125-S Rodless Pneumatic Actuator

FSI Polaris 3500 (Spares) Patlite SL-V Polaris 3500 (Spares) Patlite SL-V Signal Tower, 2 color, Amber, Red

KLA-Tencor 8100 (Spares) 8100 (Spares) Loadlock assembly for CD-SEM

STARTECH GC9SF GC9SF GENDER CHANGER

Tazmo/Semix TR 6133UD TR 6133UD Photoresist Coater and Developer tRACK, SOG type

Bimba Ultran US-1734.125-S US-1734.125-S Rodless Pneumatic Actuator

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) Power box for 200 mm note track

KLA-Tencor 8100 (Spares) 8100 (Spares) Load-lock assembly for CD SEM

Staubli 308998-001 308998-001 RX90 robot controller

TEL TOKYO ELECTRON TE 5480 TE 5480 Nitride Plasma Reactive Ion Etch

Brooks TT1ENR2-1 TT1ENR2-1 Brooks robot Teach Pendant TT1ENR2-1-TVS-ES-Brooks8

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) PLAST-O-MATIC PRD075B-PP VALVE

KLA-Tencor 8100 (Spares) 8100 (Spares) Load-lock assembly for CD SEM

Sun Ultrasparc 60 Ultrasparc 60 Unix computer from Teradyne J994

VERTEQ FLUOROCARBON RD4500 CLASSIC FLUOROCARBON RD4500 CLASSIC SRD

CABLE

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) PCB, FSI A/N 294084-200C

KLA-TENCOR 8100 (Spares) 8100 (Spares) Load-lock assembly for CD SEM

Sun Ultrasparc 60 (Hard Disk Drive) Ultrasparc 60 (Hard Disk Drive) Hard Disk from Unix computer from Teradyne J994

Accretech TSK MHF300L MHF300L Test head manipulators

CAJON SS-4-VC0 SS-4-VC0 FITTINGS

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) DIGI ACCELPORT PCB 55000534 REV F

KLA-Tencor RIBBON CABLE

SUNX SS-A5 SS-A5 SENSOR CONNECTIONS

Advantest T5371 T5371 Test system (With a single test head )

CAJON SS-8-VC0-4 SS-8-VC0-4 FITTINGS

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) PCB, FSI 200-0097 REV B MVS 8100 FRAME GRABBER

KLA-Tencor 2xx (SPARES) 2xx (SPARES) Control Paddles for KLA 2xx reticle inspection system

SUNX CX-21/FX/SU CX-21/FX/SU SENSOR SYSTEM

ADVANTEST T5771ES T5771ES Automated Test Equipment for laboratory or office use

Cam York ST-17-08-153-01-154-01-0-00-C010(1) ST-17-08-153-01-154-01-0-00-C010(1) Centrifual Blower Motor 1/8hp 220V 0.65A 30 RPM

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) PCB, FSI 61-0061-10 EXP-BP5

KLA-Tencor 7700M (Spares) 7700M (Spares) leadscrew and stepper motor for KLA Surscan 7700m, 7600 microscope

SUNX SU-7 LO SU-7 LO SENSOR & SYSTEM

Advantest V4000 V4000 Automated Test Equipment

Camloc RS-182 RS-182 GAS SPRING

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) PCB, FSI 33000-472, VME MONOLITHIC 12 SLOT

KLA-TENCOR 7700M (Spares) 7700M (Spares) EMO Button for KLA 7700M

SUNX GSA-5S GSA-5S QUALITY PROXIMITY SENSOR

Advantest V4000 V4000 Automated Test Equipment

Canon Chuck Tool Chuck Tool Chuck Tool for EX3, EX4, i4, i5

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) PCB, FSI 10444-01AA

KLA-TENCOR Pittman 9433F528 Pittman 9433F528 Servo Drive motor for KLA 7700 Surfscan

SUNX SS-AT1 / SS2-300E SS-AT1 / SS2-300E SENSOR SYSTEM

Advantest V6000e V6000e Memory Test Engineering Workstation for Office or Laboratory Use

Canon 6736A 6736A reticle handling robot for i4, iW, i5, i5+, EX3, EX4, EX5, EX6

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) PCB, FSI SP 586T (00-065-0015 REV D) SINGLE BOARD COMPUTER

KLA-Tencor 7700M (Spares) 7700M (Spares) 8 inch ceramic chuck table for KLA 7700M

SUNX SH-21E SH-21E SENSOR SYSTEM

Advantest Versatest V4000 Versatest V4000 Automated Test Equipment for laboratory or office use

Canon video impedance adapter video impedance adapter 5 channel video impedance adapter

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) Power Supply, Densei-Lambda Alpha 250S

KLA-Tencor 655-03737-00 Rev XA 655-03737-00 Rev XA Mechanical part for a KLA 7700 M (Surfscan)

Super vexta udk5114n udk5114n 5-phase driver

ADVANTEST T5375 T5375 Automated Test Equipment

Canon BH8-2017-01 BH8-2017-01 IL3-CD-PCB for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) GAS SENSOR, FSI P/N 841-004-i

KLA-Tencor 5xxx Spare Part 5xxx Spare Part Lambda Electronics LFS-47-48 REGULATED POWER SUPPLY

SURPASS PTC 3/8 NU PTC 3/8 NU PRESSURE SENSOR FOR EBARA FREX 200

Agilent / Verigy / Keysight 41501B 41501B SMU and Pulse Generator Expander 2 units

Canon BH8-1980-01 BH8-1980-01 EASRCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) ATEN CS-122 CPU SWITCH FOR PS/2 PC/AT

KLA-TENCOR 7700M (Spares) 7700M (Spares) Adjustable Opto Mechanical assembly for KLA 7700 Surfscan

SVG 99-46450-01 99-46450-01 9200SE SVG ASML 90 track Z-robot

Credence Personal Kalos I Personal Kalos I Test system

Canon BH8-1979-01 BH8-1979-01 EASLCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) PLATE ASSEMBLY

KLA-TENCOR 720-02847-000 720-02847-000 MCA Module for KLA 81xx CD SEM

SVG /ASM 128197-001 128197-001 HEATER ELEMENT, HCGI

Electroglas Horizon 4085X Horizon 4085X Fully Automatic Prober with Optem microscope and an inker

Canon BH8-2022-01, BG8-3369, BG4-8680 BH8-2022-01, BG8-3369, BG4-8680 EXP-CD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) PLASTIC COVER

KLA-TENCOR 720-02964-000B 720-02964-000B PICOAMP II for KLA 81xx CD SEM

SVG Thermco 168150-002 REV 3 S13 168150-002 REV 3 S13 RELAY BOARD PCB

HP / Agilent 4062 4062 Automated Test equipment

Canon BH8-1938-01BG4-9386 BG8-2694 BH8-1938-01BG4-9386 BG8-2694 CD90-CD PCB assembly

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) FSI P/N 05D-0167-03A1 POWER SUPPLY, DC BATTERY BACKUP 05D016703A1

KLA-TENCOR 195430 rev B 195430 rev B Detector Assembly for a KLA 7700M Surfscan

SVG Thermco 606200-01 REV 3 S5 606200-01 REV 3 S5 WAF CRT MOTION CONTROL I/F PCB

Minato Electronics 1940 1940 EPROM Programmer with additional memory

Canon BH8-2065-02, BG4-8805, BG8-3375 BH8-2065-02, BG4-8805, BG8-3375 CD90-INTLK board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) FSI P/N 917410-002C POWER BOX WITH BOARD CT 294159-200C

KLA-TENCOR 8100 (Spares) 8100 (Spares) Motorized slit assembly for CD SEM

SVG Thermco 606210-01 REV 4 S4 606210-01 REV 4 S4 CLPB MOTION CONTROL I/F PCB

NexTest / Teradyne MAGNUM 1 EV MAGNUM 1 EV Automated Test Equipment

Canon Zenith ZPS-250 Zenith ZPS-250 Multiple voltage power supply 250Watts

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) FSI P/N 917803-001B DWR-DSP CABLE, 25 CM

KLA-TENCOR ASSY.CBL.GND ASSY.CBL.GND EATHING STRAP

SVG Thermco 606314-02 REV E 606314-02 REV E Galil Motion Controller CMU PCB, DMC 1330

NexTest / Teradyne MAVERICK PT II MAVERICK PT II Automated Test Equipment

Canon Shimaden SR25-2P-N-00699609 Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) FSI P/N IB1-7000T 2 FEET CABLE 0795

KLA-TENCOR 7700M (Spares) 7700M (Spares) Mirror assembly for KLA 7700 m surfscan

SVG Thermco 606314-02 REV 1 606314-02 REV 1 Galil Motion Controller CMU PCB, DMC 1330

NexTest / Teradyne MAVERICK PT II MAVERICK PT II Automated Test Equipment

Canon Shimaden SR25-2P-N-00699609 Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) FSI P/N IB1-7000T , CABLE 2 FEET 0795

KLA-TENCOR 7700M (Spares) 7700M (Spares) Optical Lens assembly from a KLA 7700 M Surfscan

SVG Thermco 606180-01 rev 04 606180-01 rev 04 WTU MOTION CONTROL I/F PCB

Sanitas EG Multilevel Multilevel EPROM Programmer

Canon Chino ES-600 Chino ES-600 Chart Recorder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) FSI P/N POWER CABLE, LENGTH 135 CM

KLA-TENCOR 7600M 7600M ELECTRO-OPTICAL ACTUATOR ASSY

SVG Thermco 168160-001 REV 4 168160-001 REV 4 PCB, ANALOG ATMOSPHERE APL

ST Automation MT 32 SX MT 32 SX Fully Automated Memory Test System for BIST and NAND Memories

CANON FUJITSU DENSO 4247-E924 FUJITSU DENSO 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) FSI P/N 8454-AWM-4097-MT BELDEN CABLE, LENGHT 34 CM

KLA-TENCOR 113387 113387 4-CHANNEL PWM MOTOR DRIVE ASSY PCB FOR KLA 7XXX SURFSCAN, P2 and P20 profilers

SVG Thermco 606210-01 REV 4 606210-01 REV 4 S4 CLPB MOTION CONTROL INTERFACE PCB

ST Automation MT32SX MT32SX Automated Flash Memory Testing System

CANON Fujitsu Denso 4247-E924 Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

FSI Polaris 3500 (Spares) Polaris 3500 (Spares) POWER SUPPLY, CONVERSION EQUIPMENT CORP. M/N 05D-0189-01

KLA-TENCOR 655-6500504-00 655-6500504-00 CERAMIC CHUCK 200 MM(8*),2132

SVG Thermco VMEXB12D-CS VMEXB12D-CS VMEBus J1/J2 Common Substrate Extender Board

ST Automation MT32SX MT32SX Automated Flash Memory Testing System FOR TESTING 256 MB MEMORY

CANON Fujitsu Denso 4247-E924 Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

FSP GROUP INC 100-240 W 100-240 W POWER SUPPLY for a p.c.

KLA-TENCOR 665-037138-00 665-037138-00 MICROSCOPE MASK FOR KLA 2XX RETICLE INSPECTION SYSTEM

SVG Thermco 165220-001 REV B 165220-001 REV B EXTENDER BOARD

ST Automation MT32SX MT32SX Flash Memory Test System for 256 MB memory testing

CANON FUJITU DENSO 4247-E924 FUJITU DENSO 4247-E924 1ch power supply module for i4, iW, i5, i5+, EX3, EX4, EX5, EX6

FUJI CP32 CP32 CIRCUIT PROTECTOR

KLA-TENCOR 7700M (Spares) 7700M (Spares) AT GPIB IEE 488.2 Interface PCB for a KLA 7700 M

SWAGELOK 12M06 12M06 TUBE FITTINGS & VALVE

ST Automation PTM1 PTM1 Flash Memory Tester

Canon Fujitsu Denso 4247-E924 Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

FUJI SEIKI & SILENT FA-1612HCB-C FA-1612HCB-C FA.FWM.

KLA-TENCOR 740-210171-00 740-210171-00 Mask Holder for 5 inch x 0.090 thickness masks, with compensation glass, KLA 2XX

SWAGELOK 55-8-VCO-4 55-8-VCO-4 TUBE FITTINGS

ST Automation QT EPR16 DD QT EPR16 DD Automated Flash Memory Tester System with monitor

Canon Fujitsu Denso 4249-E922 Fujitsu Denso 4249-E922 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

Fujikin FBSDV-6.35-2B3-BGC FBSDV-6.35-2B3-BGC VALVE BLOCK DIAPH 1/4VCR-F/F/F NC/NC VIM VAR 5RA

KLA-TENCOR 740-210171-00 Rev C OHR 740-210171-00 Rev C OHR Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system

SWAGELOK SS-4-VCO-3 SS-4-VCO-3 TUBE FITTINGS

ST Automation QT200 QT200 Automated Tester System with monitor

CANON Fujitsu Denso 4249-E923 Fujitsu Denso 4249-E923 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

Fujitsu Denso FDS11+11 FDS11+11 750W 34A Power supply FOR ADVANTEST T5335P TESTER

KLA-TENCOR 253537 Rev A 253537 Rev A Microscope Distribution PCB, for Surfscan 7600 and 7700 series

SWAGELOK SS-4-VCO-4 SS-4-VCO-4 TUBE FITTINGS

ST Automation R.S.V. R.S.V. ST Memory Test System Electronic Automation

CANON FUJITSU DENSO 4248-E922 FUJITSU DENSO 4248-E922 2 channels power supply module for canon fpa 3000 series

GACHOT DN 15-10 DN 15-10 STAINLSS STEEL BALL VALVE

KLA-Tencor AIT-1 SHIPPING KIT AIT-1 SHIPPING KIT AIT-1 SHIPPING KIT

SWAGELOK SS-605-4 SS-605-4 TUBE FITTINGS

SYNAX SX3100 SX3100 Fully Automated test Handler, ambient and hot configured.

Canon Fuji Denso 4248-E921 Fuji Denso 4248-E921 2 channels power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

Gasonics DTC2280 DTC2280 ISA IDE control board floppy HDD

KLA-TENCOR 7700M 7700M SENSOR OPTICAL

SWAGELOK GLV-4MW-3 GLV-4MW-3 WELD FITTINGS

System General T9600 T9600 Universal Device Programmer

CANON Fujitsu denso 4250-E921 Fujitsu denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

GAZEL MG.FE 14 MG.FE 14 SUPERCLEAN

KLA-Tencor 5xxx Spare Part 5xxx Spare Part LH Research Mighty Mite 500w Power Supply for KLA 5xxx Overlay Measurement System

SWAGELOK 207/235/332 207/235/332 TUBE FITTINGS

Sytrama MTM 32 V01 MTM 32 V01 ST Test Head Manipulator QT 124

Canon Fujitsu Denso 4250-E921 Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

GAZEL MG.FC 14 MG.FC 14 GSI

KLA-TENCOR 7700M (Spares) 7700M (Spares) Robot Arm, for up to 8 inch wafers, for KLA 7700 M

Systron Donner DL 40 - 2A DL 40 - 2A Powe Supply - single and dual voltage

Tektronix TDS 544A TDS 544A Color 4 channel digitizing oscilloscope

Canon Fujitsu Denso 4250-E921 Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

GAZEL MG.FE 38 MG.FE 38 SUPERCLEAN

KLA-Tencor Surfscan 7700m Surfscan 7700m Keyboard Assy

TDK E S R 05-12R-3 E S R 05-12R-3 SWITCHING REGULATOR

Tektronix TDS694C TDS694C Digital 3 GHz real-time oscilloscope

Canon Omron APR-S Omron APR-S Reverse Phase Relay for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

GAZEL EMC 38 AB EMC 38 AB GSI

KLA-Tencor 289825A 289825A Sony XC-711 Video Camera and cable set

TED PELLA INC CAT 622 M CAT 622 M TIN SPHERES ON CARBON

Teradyne J994 J994 Memory Tester

Canon spares spares Wafer Cassette holder for FPA 3000 SERIES iw i4 i5 ex3 ex4 ex5 ex6

GAZEL ECF 14 ECF 14 SUPERCLEAN

KLA-Tencor Corp. 720-05888-000 720-05888-000 Electron gun controller for KLA 8100

TEL TOKYO ELECTRON UPGRADE FOR SCCM OXIDE TOOL UPGRADE FOR SCCM OXIDE TOOL KIT FOR UPGRADE FOR SCCM OXIDE TOOL

Alphasem DB 608-PRL DB 608-PRL Die Sort System

Canon FPA 3000 series FPA 3000 series Cassette holder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

GAZEL ECM 14 ECM 14 SUPERCLEAN-GSI

KNIEL System CPD 5.12/6.3 CPD 5.12/6.3 Power Supply, 321-019-02.00

TEL TOKYO ELECTRON 3387-002688-12 3387-002688-12 Tel P8XL Camera assembly

Binder FDL 115 FDL 115 Safety Drying Chamber for solvent, RT up to +300°C, Volume inside 115 Liter

Canon Fuji Electric PE-LA 5 D Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

GAZEL ECF 12 ECF 12 SUPERCLEAN

KOGANEI JDAS32X5-165W JDAS32X5-165W AIR CYLINDER

TEL Tokyo Electron 028-016314-1 028-016314-1 FITTING TUBE...1016-0 8

Delvotec 4500/Siplace A2 4500/Siplace A2 Die Bonder

Canon Fuji Electric PE-LA 5 D Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

GAZEL ECM 12 ECM 12 GSI

KOGANEI BDAS10X30 BDAS10X30

TEL TOKYO ELECTRON 2985-429208-W4 2985-429208-W4 ACT 12 2985-429208-W4 ADH SUB UNIT BASE ASSY ADHESIVE MODULE

Delvotec 6200 6200 Gold Ball Wire Bonder

Canon Fuji Electric PE-LA 5 D Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

Genmark GB3 GB3 Wafer handling Robot

KOGANEI AME07-E2-PSL AME07-E2-PSL VACUUM EJECTOR

TEMPTRONIC TP22-2 TP22-2 TEMPERATURE TEMP SET

Diener Tetra 30LF PC Tetra 30LF PC Plasma Surface Treatment Machine

Canon BH8-1768-02 BH8-1768-02 Library Sub Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

Gossen Konstanter IEC625 IEC625 Laboratory Power supply Gossen Konstanter UOP

KOGANEI A200-4E1 A200-4E1 AIR VALVE

TEMPTRONIC THERMO SPOT THERMO SPOT THERMO SPOT HEATING HEAD

Diener Plasma Asher

Canon BH8-1818-01 BH8-1818-01 LD/PD board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6

GSC P140-74 P140-74 Gleichstrom Servo Motor

KOGANEI ORCA 16X120 ORCA 16X120 Slit type rodless cylinder

TENCOR INSTRUMENTS AC 100V AC 100V POWER SW

ELES ART 200 ART 200 Debug Station for Reliability Test System

Canon BG9-4757, BH8--1069-01 BG9-4757, BH8--1069-01 AF DRV board for Canon FPA 1550 series

HAMPTON CYCLETROL 240 CYCLETROL 240 CIRCUIT BREAKER

KOGANEI ORCA 16X120 ORCA 16X120 Slit type rodless cylinder

TENCOR INSTRUMENTS AC 100-120 V AC 100-120 V POWER SW

ESEC 3018 3018 Gold Ball Bonder

Canon BG9-4760, BG83111, BH8-1071-02 BG9-4760, BG83111, BH8-1071-02 SH/RH board for Canon FPA 1550

Hitachi 545-5515 545-5515 DC power supply module for CD SEM

KOGANEI SLIM SLIM AIR CYLINDER

Teradyne 950-656-00 rev B 950-656-00 rev B PCB from test system

ESEC 3088 3088 Gold Ball Bonder

Canon BG9-4761, BG8-3112, BH8-1071-01 BG9-4761, BG8-3112, BH8-1071-01 SH/RH board FOR CANON FPA SERIES STEPPERS

Hitachi 6280H 6280H Power Supply Module 4channels

KOGANEI PDA S PDA S AIR CYLINDER

Teradyne J971SP (Spares) J971SP (Spares) Boards from VLSI test system

Hamamatsu C7103 C7103 PC Controlled IC Back-side Lapping and Wafer Grinding System

Canon BH8-1073-01, BG9-4763, BG8-3114 BH8-1073-01, BG9-4763, BG8-3114 PA SENSER board

Hitachi 545-5516 545-5516 7 Channel Power Supply module

KOGANEI KA CMA KA CMA AIR CYLINDER

Teradyne 950-662-02/A 950-662-02/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 60619 9341

Hesse & Knipps BJ 820 BJ 820 Magazine to magazine transport system for wirebonder

Canon BG9-4764, BH8-1074-01 BG9-4764, BH8-1074-01 PA CCD board for Canon FPA series steppers

Hitachi 545-5522 545-5522 VG board for CD SEM

KOGANEI TWDA TWDA AIR CYLINDER

Teradyne 953-003-01 953-003-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 7221 9720

K Tech Engineering BK04A BK04A Blister tape applicator for microelectronic components

Canon BG9-4762, BG8-3113, BH8-1072-01 BG9-4762, BG8-3113, BH8-1072-01 PA STAGE board for Canon 1550MarkIV series

Hitachi 545-5521 545-5521 EVAC PCB FOR HITACHI CD-SEM

KOGANEI SLIM SLIM AIR CYLINDER

Teradyne 950-542-00 950-542-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 30420 9208

KEYENCE VHX-2000 D VHX-2000 D Digital Micrsocope for laboratory / Assembly inspection use

Canon BG9-4758, BG8-3109, BH8-1070-02 BG9-4758, BG8-3109, BH8-1070-02 SC/RC board for Canon FPA series steppers

Hitachi 545-5537 545-5537 IP-PC2 for cd-sem

KOGANEI LTD KA.CMA KA.CMA MINI CYLINDER

Teradyne 950-541-00 REV A 950-541-00 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 53420 9208

March Plasma Asher

Canon BG9-4759, BG8-3110, BH8-1070-02 BG9-4759, BG8-3110, BH8-1070-02 SC/RC board for Canon fpa

Hitachi 377-7592 377-7592 Power Supply Module for CD SEM

KOGANEI LTD KA.CMA KA.CMA MINI-CYLINDER

Teradyne 950-421-01/A 950-421-01/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61819 9517

Mazzali Climatest C320G5 Climatest C320G5 Temperature and humidity testing chamber

Canon BG4-6365 BG4-6365 PPC Pellicle Particle Checker Unit for FPA3000 series stepper iw i4 i5 ex3 ex4 ex5 ex6

HITACHI 6280H (SPARES) 6280H (SPARES) SORD Computer for cd sem system

KOGANEI LTD KA.CMA KA.CMA MINI-CYLINDER

Teradyne 950-713-00 950-713-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 2220 9702 Z18XX

Pink V8-G-AUTO V8-G-AUTO Low pressure Plasma Cleaning System

Canon FPA 3000 series extended reticle library FPA 3000 series extended reticle library extended reticle library

Hitachi 6280H CONTROL RACK 6280H CONTROL RACK CD SEM (PARTS)

KOKUSAI M 152 WRL M 152 WRL THERMO COUPLE

Teradyne 950-220-02 rev a 950-220-02 rev a Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 42419 9251

Salon Teknopaja OY PWB PWB Printed Wire Board Level Drop Tester with Solder Joint Reliability tester

CANON BG4-7001 BG4-7001 BH8-1837-01 PCB

Hitachi 6280H 6280H CD SEM Control Rack including qty 12 PC Boards in the rack

KOYO LINBERG VF5100B VF5100B Set of Cleanroom Manuals

Teradyne 950-777-01 950-777-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 46500L 9513

Schroff PSM 115 PSM 115 Power Supply Unit

Canon FPA1550 MK4 (Spares) FPA1550 MK4 (Spares) Targetting lenses for Canon Stepper qty 2

HOLADAY HI-1801 HI-1801 MICROWAVE SURVEY METER

Kurt J Lesker QF160-SAVR QF160-SAVR Pump centering ring

Teradyne 950-572-04 Rev. A 950-572-04 Rev. A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61219 9345

Weiss TS130 TS130 Thermal shock testing chamber

CANON FPA 1550 Mark IV (Spares) FPA 1550 Mark IV (Spares) Wafer transfer arm INL-WS1 for CANON FPA 1550 MARK 4

HP HEWLETT PACKARD 9145A 9145A PC HP HEWLETT PACHARD 9145

Kurt J Lesker ISO160AVCRT ISO160AVCRT Pump centering ring

Teradyne 950-569-03/A 950-569-03/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314

Weiss VT 7012 S2 VT 7012 S2 Temperature Shock Test Chamber

Canon Chuck, 8 inch Chuck, 8 inch Wafer Chuck for EX3, EX4, i4, i5

hps mks 90 degree flange 90 degree flange HPS MKS Stainless Steel VACUUM FITTING

Kurt J Lesker ISO100AVCRT ISO100AVCRT Pump centering ring

Teradyne 950-212-03/B 950-212-03/B Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /B 55119 9328

ZEISS Stemi 2000 Stemi 2000 Stereozoom Microscope

Canon FPA 3000 SERIES i4 i5 EX3 EX4 EX5 EX6 FPA 3000 SERIES i4 i5 EX3 EX4 EX5 EX6 Various Spare Parts and lenses for Canon FPA-3000 Series

HTC ISO1010OCRVSA ISO1010OCRVSA Pump flange PN 192112412

Kurt J Lesker ISO Flange ISO63, 5-hole, *NEW* ISO Flange ISO63, 5-hole, *NEW* 5 hole pump flange

Teradyne 950-687-01 rev D 950-687-01 rev D Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /D 9752 L200

Adixen Alcatel ADS 602H ADS 602H Dry Vacuum pump combo

CANON BG4-6746 BH8-1822-01 BG8-2683 BG4-6746 BH8-1822-01 BG8-2683 WF/RC IF PCB FOR CANON I-LINE STEPPERS

ICP DAS Omega DB-16R DB-16R Daughter Board

Kurt J Lesker QF-SSC-ALM QF-SSC-ALM Single claw clamp

Teradyne 950-561-04/A 950-561-04/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60620 9547

Angelantoni T600 TUS T600 TUS Large Clean-room Oven with internal blowers

CANON ROCKY KS-112 ROCKY KS-112 SCSI EXT PCB FOR CANON FPA SERIES I-LINE STEPPERS

IGUS 07.10.038.0 07.10.038.0 Belt

Lam 4520 (spares) 4520 (spares) REMOTE CART

Teradyne 880-751-10 880-751-10 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 61720 9521

Applied Materials 0010-00557 REV A 0010-00557 REV A Heat Exchanger

Canon FPA3000 EX3 (Spares) FPA3000 EX3 (Spares) Complete Illuminator Assembly

IKO LWES 15 C1 R460 S2 LWES 15 C1 R460 S2 Linear way with single bearing block,set of 4

Lam 4520 (spares) 4520 (spares) REMOTE CART

Teradyne 950-421-01 950-421-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 72019 9517

COLUSSI UG 50 E UG 50 E AUTOCLAVE FOR STERILIZATION

Canon BG4-8595 BG4-8595 FPA 3000 series, iA scope objective lens unit, RIGHT

IKO NIPPON THOMPSON LWHT 20 C1 R760 B T1 H S2 LWHT 20 C1 R760 B T1 H S2 LINEAR BEARING AND RAIL

Lam Research 810-06526-000 810-06526-000 ASSY.CBL.EF CONTROL.SNIPER

Teradyne 950-574-01 REV A 950-574-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 71620 8541 Z18xx

Ebara A30W A30W Vacuum Pump

Canon BG4-8594 BG4-8594 FPA 3000 SERIES iA scope objective lens unit, Left

IKO NIPPON THOMPSON T1 H S2 T1 H S2 LINEAR BEARING AND RAIL

Lam Research 853-495477-001 Rev B 853-495477-001 Rev B Cable

Teradyne 950-568-00 950-568-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 73419 9710 TW568 REV A

Edwards iQDP40 iQDP40 Dry Mechanical Pump

Canon BG4-85?? BG4-85?? FPA 3000 series iA scope relay lens unit, Right

IKO NIPPON THOMPSON BCS H S2 BCS H S2 LINEAR BEARING AND RAIL

Lambda CA1000 CA1000 Alpha 1000W CA1000 Power Supply

Teradyne 950-566-01 950-566-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60520 9448

Edwards iQDP80 / QMB1200 iQDP80 / QMB1200 Dry Vacuum Pump combo

Canon BG4-8599 BG4-8599 FPA 3000 series iA scope shutter unit

IKO NIPPON THOMPSON PS1 PS1 LINEAR BEARING

Leitz 512815 / 2 512815 / 2 Microscope for KLA 51xx with olympus eyepieces

Teradyne 950-569-03 950-569-03 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314

Edwards iQDP80 / QMB1200 iQDP80 / QMB1200 Dry Vacuum Pump combo

Canon FPA 3000 EX3/4/5/6 (Spares) FPA 3000 EX3/4/5/6 (Spares) FPA 3000 EX series iA scope lens unit

IKO NIPPON THOMPSON LWL 12 C2 LWL 12 C2 LINEAR BEARING AND RAIL

Leybold 287 02 V2 287 02 V2 Pump Valve

Teradyne 950-558-00 REV A 950-558-00 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 64620 9509

Edwards QDP80 QDP80 Dry Vacuum Pump

Canon BG4-8601 BG4-8601 Electro-Optical part for FPA 3000 EX series iA scope

INFICON 850-200-G1 850-200-G1 LEYBOLD IG 3 VACUUM GAUGE CONTROLLER

LEYBOLD ISO-K 100 ISO-K 100 Large ISO-K Vacuum Bellows and 90 Degree Elbow

Teradyne 950-560-00 950-560-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 93610 9918

Edwards QDP80 + QMB 250F QDP80 + QMB 250F Dry Vacuum Pump combo

Canon iA scope for FPA 3000 Ex4,5,6 (Spares) iA scope for FPA 3000 Ex4,5,6 (Spares) Texas Instruments MC780P CCD EX Camera (Left)

Ingersoll Rand ANAQK-ABXAB-160 ANAQK-ABXAB-160 Provenair HT Pneumatic Cylinder

Liebherr FKV 3610 FKV 3610 Fridge for the safe storage of photoresist

Teradyne 950-562-00 950-562-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 75019 9226

Edwards QDP80 + QMB250F QDP80 + QMB250F Dry Vacuum pump combo with power box

Canon iA scope for FPA 3000 Ex4,5,6 (Spares) iA scope for FPA 3000 Ex4,5,6 (Spares) Texas Instruments MC780P CCD EX Camera (Right)

InTest Test Head Test Head CPIT TEP8 / STFLASH EPROM / 1792 Test Head

MAC 225B-111BAAA 225B-111BAAA Pneumatic solenoid valve

Teradyne 950-681-00 950-681-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 74920 9319

Edwards QDP80 Drystar QDP80 Drystar Dry Vacuum pump with power box

Canon iA scope for FPA 3000 Ex4,5,6 (Spares) iA scope for FPA 3000 Ex4,5,6 (Spares) Issoku FG199 lead screw

JSC Systems 510 510 Controller

MATHESON TRI.GAS TRI.GAS ROTAMETER

Teradyne 950-556-01 REV A 950-556-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 80419 94222

Edwards STP-A1303C STP-A1303C TURBOMOLECULAR PUMP

Canon BG4-8597 BG4-8597 Electro-optical assembly from iA scope for FPA 3000 Ex4,5,6

Kalrez O-RING AS-568A O-RING AS-568A o-ring seal

MATSUSHITA HP2-DC 24V HP2-DC 24V RELAY HP

Teradyne 950-217-04 950-217-04 Teradyne J971 PCB,

Edwards / Seiko Seiki STP 1000C STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40

Canon FPA3000 EX3/ i5 (Spares) FPA3000 EX3/ i5 (Spares) Masking Blade Assembly

KEYENCE FU-12 FU-12 PHOTO SENSOR

MATSUSHITA BBC 35 N BBC 35 N INTERRUPTOR CAP SYS

Teradyne 961-129-01 961-129-01 Teradyne J971 test system power control panel

Keller VARIO-T 1.0-SC8-B30-HD VARIO-T 1.0-SC8-B30-HD Scrubber / Compact Dust Separator for Baccini laser unit exhaust air

Canon BG3-1045 BG3-1045 REF-MEM (RT) PCB for Canon FPA 5000 ES2, 3 etc.

KEYENCE PS SERIES PS SERIES PHOTO SENSOR

MELLES GRIOT 05-LHP-121 05-LHP-121 HE NE Laser (Unused)

Teradyne 405-097-00 405-097-00 Power-One Dual 5 V Power Supply 150 Amp, 230 VAC, for Teradyne J971 tester

Sankei Giken TCW-12000 CV TCW-12000 CV Process Module Chiller

Canon BG5-1262 BG5-1262 MLE-IF2 PCB for Canon FPA 5000 ES2, 3 etc.

KEYENCE PS SERIES PS SERIES PHOTO SENSOR

MICRON 256 MB 256 MB SYNCH

Teradyne 961-061-00 961-061-00 Teradyne J971 Power Supply

Varian 350D (Spares) 350D (Spares) Implanter (Spare Parts)

CANON BG3-3831 BG3-3831 RT-DSP PCB for Canon FPA 5000 ES2, 3 etc.

KEYENCE PS SERIES PS SERIES PHOTO SENSOR

MICROSPEED PD-250C PD-250C PC-TRAC mouse

Teradyne 961-128-00 961-128-00 Teradyne J971 Power Supply

Varian Turbo-V 250 MacroTorr Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type

Canon BY8-6168-4E0 BY8-6168-4E0 Canon FPA3000 EX3 Command Guide - operational manual

KLA 710-101836-02 710-101836-02 AUTOFOCUS 2 HV PCB for KLA 2xx reticle inspection system

MILLIPORE WGGB06WR1 WGGB06WR1 WAFERGARD IN-LINE GAS FILTER

Teradyne 405-155-00 405-155-00 Power Supply 150 Amp, 230 VAC

35 MWp Baccini Baccini Solar Cell Print line for Mono or Poly Crystalline Solar Cells

Canon BY8-4615-0E0 BY8-4615-0E0 Canon FPA3000 EX3 Maintenance Guide

KLA 710-101836-02 REV D 710-101836-02 REV D AUTOFOCUS II POWER AMP

MILLIPORE FLUOROGARD-PLUS CWFA01PLV FLUOROGARD-PLUS CWFA01PLV filter CARTRIDGE

Teradyne 405-142-00 405-142-00 Power Supply 150 Amp, 230 VAC

60 MWp Baccini Baccini Solar Cell Print Line for Mono or Poly Crystalline Solar Cells

Canon BY8-6168-1E0 BY8-6168-1E0 Canon FPA3000 EX3 Operation Guide - operational manual

KLA 710-102570-02 710-102570-02 AUTOFOCUS PRE-AMPLIFIER

MILLIPORE CORP WGFG01HR1 WGFG01HR1 WAFERGARD F MINI IN-LINE GAS FILTER

Teradyne 405-167-00 405-167-00 Power Supply 8 Amp, 28V

Baccini Cell electrical tester Cell electrical tester Electrical Cell tester

Canon BY8-6168-2E0 BY8-6168-2E0 Canon FPA3000 EX3 Parameter Guide 1 - operational manual

KLA VLSI 845 VLSI 845 DUPONT VERIMASK for KLA 2xx reticle inspection system

Milton Roy AA761-65S AA761-65S Flow rate pump

Teradyne 405-096-00 405-096-00 POWER ONE Power Supply 150 Amp, 230 VAC (S233)

Baccini CHIP AND CRACK CAMERA CHIP AND CRACK CAMERA Chip and Crack camera

Canon BY8-6168-3E0 BY8-6168-3E0 Canon FPA3000 EX3 Parameter Guide 2 - operational manual

KLA Defect Highlighting PC Defect Highlighting PC Defect Highlighting PC for KLA 2xx reticle inspection system

MINERTIA MOTOR RM SERIES RM SERIES MOTOR ELECTRIC

Teradyne 880-751-10 /E 880-751-10 /E Precision Measurement unit PCB, REV E

Baccini Dryer 1 Dryer 1 Dryer 1

Canon BY8-6168-5E0 BY8-6168-5E0 Canon FPA3000 EX3 Reticle Guide2 - operational manual

KLA 720-05887-000 720-05887-000 MCP Detector Control Chassis

MINERTIA MOTOR RM SERIES RM SERIES INDUCTION MOTOR

TERADYNE 950-217-04 REV B 950-217-04 REV B PC BOARD FOR TERADYNE J971 SP

Baccini Dryer 2 Dryer 2 Dryer 1

Celerity UFC-1660 UFC-1660 MFC C2F6 5SLPM

KLA 259 (spares) 259 (spares) Trinocular Microscope Head for KLA 2xx reticle inspection system

MITSUBISHI MR-J10A1 MR-J10A1 AC SERVO

TERADYNE 950-561-04 REV A 950-561-04 REV A PC BOARD FOR TERADYNE J971 SP

Baccini Furnace 1 Furnace 1 Drying Furnace

Centrotherm Quartz Door Quartz Door Centrotherm/semco(?) Quartz Door, new

KLA 8100 8100 PICOAMP 11 P/N 720-02964-000

MITSUBISHI FR-Z120-0.4K FR-Z120-0.4K PLC, INVERTER 200 V CLASS

TERADYNE 950-562-00 REV A 950-562-00 REV A PC BOARD FOR TERADYNE J971 SP

Baccini Furnace 2 Furnace 2 Drying Furnace

CKD M4SB080-M5 M4SB080-M5 AHM-850 SOLENOID VALVE

KLA 8100 (Spares) 8100 (Spares) wafer tabel for CD SEM P/N 731-09404-047 Rev 2

MITSUBISHI Melservo MR-C10A1-UE Melservo MR-C10A1-UE AC SERVO AMPLIFIER

TERADYNE 950-681-00 REV A 950-681-00 REV A PC BOARD FOR TERADYNE J971 SP

Baccini Furnace 3 Furnace 3 Drying Furnace

CKD CYCLINDER CSD2-L-32-20 CSD2-L-32-20 CYLYNDER

KLA 8100 8100 Plate Wafer P/N 731-08507-004

MKS Type 624 Type 624 Baratron pressure transducer with trip points, range 1000 Torr, CE

TERADYNE 950-560-00 REV A 950-560-00 REV A PC BOARD FOR TERADYNE J971 SP

Baccini Screen Printer 2 Screen Printer 2 screen printer

CKD CYCLINDER CSD2-L-32-20 CSD2-L-32-20 CYLYNDER

KLA 8100 8100 Block, Pivot ,Keybd P/N 740-03389-000

MKS 154-0100P 154-0100P High Vacuum Valve, NEW

TERADYNE 950-569-03 REV A 950-569-03 REV A PC BOARD FOR TERADYNE J971 SP

Baccini Screen Printer 3 Screen Printer 3 screen printer

CLEAN ROOM TABLE CLEANROOM TABLE CLEANROOM TABLE STEEL CLEANROOM TABLE

KLA 8100 8100 Interface cable set P/N 810-09072-002 REV A

MKS 627BX01MCC1B 627BX01MCC1B Baratron 1mbar (CAPACITANCE MANOMETER)

TESCOM 150 150 REGULATORS PRESSURE

Baccini Test 1 Test 1 Solar Cell Inspection

COMPUMOTOR M57-51 M57-51 Lead screw

KLA 8100 8100 MCA Module P/N 720-02847-000

MKS 653B-13064 653B-13064 Baratron 1mbar

Texwipe 810-39234 810-39234 BRUSH, ELONGATED CORE EBARA (TEXWIPE)

Baccini Test 2 Test 2 Icos Solar Cell Inspection

Concoa 806 6530 806 6530 Singe stage Oxygen regulator valve w/ guage

KLA 8100 8100 P/N 740-05635-000 REV A

MKS Instruments 162-0040K 162-0040K Inline Pneumatic Valve ISO-KF NW 40 flanges

THK LMT40UUM+489LFM LMT40UUM+489LFM LEADSCREW FOR EBARA FREX 200

Baccini Test 3 Test 3 Automatic Cell Sorter

CONDOR HCC15 3A + HCC15 3A + POWER SUPPLY UNIT FOR KLA 7700 SURFSCAN

KLA 8100 8100 T Piece P/N 471-07945-000

Moeller Xpole PLSM-C10/1 Xpole PLSM-C10/1 mini breaker switch

THK 280L 280L Linear Bearing and guide

Baccini Printer 1 Printer 1 Screen Printer

Condor B24-1.2 B24-1.2 dc power supply

KLA 8100 8100 Ground Strap P/N 810-04308-005

MRL Black Max Black Max Black max heater element, 850 celcius

THK LWHS15 LWHS15 LINEAR WAY WITH SINGLE BEARING

Baccini Printer 2 Printer 2 Screen Printer

COSEL MMC100-2 MMC100-2 POWER SUPPLY

KLA 8100 8100 Ground Strap P/N 810-04308-004

MS PRG WO A9403789 A9403789 MOUSE MODEL No.240C

THK RSR 15 RSR 15 LINEAR WAY WITH 7 BEARINGS

Baccini Printer 3 Printer 3 Screen Printer

Credence Duo SX (Spare Parts) Duo SX (Spare Parts) SPARE PARTS FROM AUTOMATED TEST SYSTEM

KLA 8100 8100 Bracket P/N 740-07893-000

Muegge MW2009D-260ED MW2009D-260ED Magnetron Head 2.45GHZ

THK A6F 598 A6F 598 LINEAR WAY WITH SINGLE BEARING

Berger PSS10 PSS10 Pulsed Solar Simulator

Credence Duo SX PC Duo SX PC Credence Duo SX Main PC

KLA 8100 8100 P/N 740-05728-000

Muegge Controller Controller Controller, CAN controller, L/R sync

THK HSRIZRI/UUM+490LM HSRIZRI/UUM+490LM LINEAR WAY WITH SINGLE BEARING

Centrotherm Centronic E2000 Centronic E2000 Horizontal diffusion furnace for POCl3 doping

Credence 671-4283-01 671-4283-01 Duo SX ROM Sequencer TPI/PD

KLA 8100 8100 P/N 740-07892-000 Rev A

Muegge MX4000D-110LL MX4000D-110LL MICROWAVE POWER SUPPLY

THK ATHI240 ATHI240 LINEAR WAY WITH SINGLE BEARING

CentroTherm DO 12.000-200-FF-HTO-CAN-NT4.0 DO 12.000-200-FF-HTO-CAN-NT4.0 Belt Reflow Furnace for solar cell production (Fast Firing Furnace) with Dryer

Credence 671-4331-01 671-4331-01 DUO SX ROM Sequencer PCB

KLA 8100 8100 Flex Pipe

Nanyang Quartz wafer boat Quartz wafer boat Shell boat 200mm quartz

THK Y8A31 Y8A31 LINEAR WAY WITH SINGLE BEARING

Centrotherm DO-FF-8600-300 DO-FF-8600-300 Belt Reflow Furnace for solar cell production (Fast Firing Furnace)

Credence 670-9426-05 670-9426-05 DUO SX Controller I/O PCB

KLA 8100 8100 PCB 830-10172-000 Rev 3

NC NOR-CAL PRODUCTS 0995-16528 0995-16528 CHEMRAZ O-RING INSIDE OF VALVE

THK A6 C II A6 C II LINEAR WAY WITH SINGLE BEARING

Centrotherm E 2000 HT 300-4 E 2000 HT 300-4 Horizontal Diffusion Furnace for POCl3 doping

Credence 671-0693-04 671-0693-04 Duo SX DMA2 Controller PCB

KLA 8100 8100 Bracket P/N 740-05415-000 Rev A

NEC C1OT 6D TA 0100 C1OT 6D TA 0100 THERMOSTAT

THK 689 689 LINEAR WAY WITHOUT BEARING

Centrotherm E 2000 HT 320-4 E 2000 HT 320-4 Nitride Diffusion Furnace for Anti-refective coating PECVD

Credence 671-4043-01 671-4043-01 DUO SX FUNCTIONAL TEST CONTROLLER PCB

KLA 8100 8100 Festo PU-3 Duo air Pipe

NEC MU1238B-11B MU1238B-11B AIRFLOW ROTATION

THK RSR12VM RSR12VM LINEAR WAY WITH 2 BEARINGS

Innolas ILS 700P ILS 700P Laser Edge Isolation

Credence 671-4098-952707 671-4098-952707 DUO SX Master Clock Dist.

KLA 8100 8100 Plastic Disc

NEC MF300-02 MF300-02 MIST FILTER

THK KS 3J22 KS 3J22 RELIANCE BEARING

Jonas and Redmann Q2 WHD A Q2 WHD A Loader for Centrotherm E2000 furnace

Credence 671-4127-00 671-4127-00 Scan Memory

KLA 259 (Spares) 259 (Spares) Set of 2 Objectives and 2 illuminator lenses for KLA 259 reticle inspection system

Nemic-LAMBDA CKD-65/65 CKD-65/65 65V 6A DC Regulated power supply FOR Advantest T5335P

THK CO.,LCD OR17 OR17 BLOCK SR-2V

Jonas and Redmann SDB SDB Automated Loader for Baccini Printing Line

Credence 671-4359-00 671-4359-00 DPAC Parallel Pattern Memory

KLA 740-401-320 740-401-320 P-N 073-401-320 AIRLOCK

NIKON RETICLE BOX 5 INCH RETICLE BOX 5 INCH 5 INCH RETICLE BOX

THK CO.,LCD SC35uu SC35uu LM CASE UNIT

Jonas and Redmann WHD (Wafer Handling Diffusion) WHD (Wafer Handling Diffusion) Automated Loader for Centrotherm E2000 Furnace

Credence 672-4359-00 672-4359-00 DPAC Parallel Pattern Memory

KLA Ceramic table Ceramic table 8" Ceramic Table & Misc Parts

Nisshin 7 kgf/cm2 7 kgf/cm2 pressure gauge

THK CO.,LCD FBA 5 FBA 5 FLAT BALL

Jonas and Redmann WHP (Wafer Handling Plasm) WHP (Wafer Handling Plasm) Automated Loader for Anti Reflection Coating System

Credence 672-6051-03 672-6051-03 Support Module Interface PCB for a Credence Duo tester

KLA 7700M (SPARES) 7700M (SPARES) Lens PCB 042763

Nisshin 4 kgf/cm2 4 kgf/cm2 pressure gauge

TOKIMEC VA12134A VA12134A DIRECTIONAL CONTROL VALVE

Rofin PowerLine D-100 (RSM, Sx) PowerLine D-100 (RSM, Sx) Fiber Laser for solar cell edge isolation

Credence 671-4270-03 671-4270-03 Capture Processor

KLA 7700m 7700m robot Dist. PCB

Nisshin 0-76 cmHg 0-76 cmHg vacuum gauge

TOKIMEC 012-7 012-7 DIRECTIONAL CONTROL VALVE

ACOPIAN B24G210 B24G210 POWER SUPPLY

Credence 671-2119-00 671-2119-00 Analog Support Air flow Bus Grant PCB , for Credence DUO tester

KLA 7700m 7700m 253537 Rev A PCB Microscope Dist

Nisshin 0-1 kgf/cm2 0-1 kgf/cm2 pressure gauge

TOKYO ELECRON 015 015 RELAY

ADE 020986-10 020986-10 259.82 uM thickness standard

Credence 671-4155-05 671-4155-05 Analog Support Module VHF PCB for Credence Duo Tester

KLA 7700m 7700m Pittmann Motor 94337528 Microscope driver

NITROGEN PRESSURE VALVE

TOKYO ELECRON 011 011 SUPPORT.PCB..SQ-80

ADE 020986-14 020986-14 360.01 uM thickness standard

Credence 671-4351-00 671-4351-00 Intersegment Communications Connector

KLA 7700m 7700m 181137 Drive Assy PCB

NITSUKO BCR 2600/BCV 5050 BCR 2600/BCV 5050 POWER SUPPLY

TOKYO ELECTRON 1D10-317R09-12 1D10-317R09-12 PLATE,GALDEN FLOW CHECKER

Adixen Alcatel ADS 602H ADS 602H Dry Vacuum pump combo

Credence 202-2000-00 202-2000-00 Credence Duo SX controller

KLA 7700m 7700m 174203 Rev D PCB Flat finder pwd Driver

Nitto HR8500-2 HR8500-2 INSTRUCTION MANUAL

TOKYO ELECTRON / CONTEC FC-SD70 FC-SD70 flow meter

Advantech IPC-5475 IPC-5475 data acquisition card

Credence 671-4098-02 671-4098-02 DUO SX Master Clock Dist. PCB

KLA 7700m 7700m Front Panel PCB

Nitto 44941001 44941001 TAPE SPOOL

Tolomatic 11240741 11240741 Tolomatic cylinder, replacement for AMI tools

Advantech HK-6323 HK-6323 data acquisition card ISA

Credence 671-4635-01 671-4635-01 Testhead connector PCB board, from a Credence Duo SX test system

KLA 7700m 7700m 181830 Rev D, National Instruments AT-GPIB/TNT

Nitto TT1R2-1 TT1R2-1 teach pendant for robot TT1R2-1

UNIPHASE 1103P-0187 1103P-0187 HE NE Laser

Advantech PCL-745B PCL-745B data acquisition card 2-port RS422/485 Rev B1

Credence 671-1461-04 671-1461-04 Intersegment communications board

KLA 7700m 7700m EMO Switch

NK RM 120 RM 120 REAL TIME MONITOR

United Detector Technology, Inc. 40X 40X Laser Power Meter

Advantech PCL-730 PCL-730 data acquisition card 32ch TTL DIO

Credence 671-4276-50 671-4276-50 PIN card FOR CREDENCE DUO SX TESTER

KLA 7700m 7700m Leadscrew and Servo Motor CMC MT2115-014DF

Novascan 945-00666-00 945-00666-00 HALOGEN LAMP

Varian E17015570 E17015570 SCANACT,COUNTER WEIGHT

Advantest TR6846 TR6846 Digital Multimeter

Credence 071-1022-01 071-1022-01 Duo & Logic 100 Test System Service Manual

KLA 7700m 7700m Mirror Assy with Fiber Optic

NSK GLOBAL LTD MAEBASHI PLANT MAEBASHI PLANT BALL SCREW

Varian E11002430 E11002430 WAFER COOLING CONTROLLER

Advantest T5335P (Spares) T5335P (Spares) Spare Boards from test system (See attached list for details)

Credence 671-4359-01 671-4359-01 DPAC Parallel Pattern Memory PCB

KLA 7700m 7700m 201989 Concave Mirror

Nuclear Elettronica 314E 5/12 - 6/2 rev A 314E 5/12 - 6/2 rev A Power Supply, 5V/12A - 6V/2A

Varian E17064301 E17064301 BEAM SHIELD

Advantest BGR-020773 BGR-020773 ADVANTEST T5335P PC Board

Credence 671-1376-02 671-1376-02 Analog Power Monitor PCB 584-02

KLA 7700m 7700m Detector Assy

Nuclear Elettronica 314E.100 REV A 314E.100 REV A Power Supply, 5V/20A

Varian E17032320 E17032320 CHASIS GUIDE M FRME ESSERV

Advantest BGR-020853 BGR-020853 ADVANTEST T5335P PC Board

Credence 671-4394-01 671-4394-01 Test head connector board

KLA 7700m 7700m Mouse & PCB 240C

Nuclear Elettronica 312E.44L REV A 312E.44L REV A Dual Power Supply, +/- 15V / 1A

Varian E11001320 REV B E11001320 REV B TARGET, FOCUS, FARADAY

Advantest BGR-020816X02 BGR-020816X02 ADVANTEST T5335P PC Board

Credence 671-4270-02 671-4270-02 Capture Processor board 1A

KLA 7700m 7700m Convex Glass Plate

NUPRO SS-4BK-V51 SS-4BK-V51 REGULATOR PRESSURE

Varian E11002183 E11002183 PEDESTAL ASSY,MULTI 150/200MM

Advantest BGR-020774 rev x2 BGR-020774 rev x2 ADVANTEST T5335P PC Board

Credence 671-4394-04 671-4394-04 Test head connector board

KLA 7700m 7700m two cables 7 brackets

NUPRO SS-4R3A1- SS-4R3A1- TUBE FITTINGS & VALVE

Varian E17026720 E17026720 PLATE,GROUND,GRAPHSCAN-SCAN

Advantest BGR-020814 BGR-020814 ADVANTEST T5335P PC Board

Credence 672-6051-01 672-6051-01 Support Module Interface

KLA 7700 7700 Misc Bracket

NUPRO 7 MICRON 7 MICRON

Varian E17026680 E17026680 PLATE,GROUND,GRAPHSCAN

Advantest BGR-020771 BGR-020771 ADVANTEST T5335P PC Board

Credence 671-4359-00 REV 3 671-4359-00 REV 3 DPAC Parallel Pattern Memory

KLA 7700m 7700m Photomultiplier

NUPRO 107 107

Varian E17101600 E17101600 COVER,DUAL VAPORIZER

Advantest BGR-020509 BGR-020509 ADVANTEST T5335P PC Board

Credence 671-4177-04 671-4177-04 Support Module Interface PCB

KLA 715-023506-00 715-023506-00 Complete lead screw and stepping motor for KLA 2xx reticle inspection system

Olympus BH2-UMA BH2-UMA BRIGHTFIELD / DARKFIELD REFLECTED LIGHT ILLUMINATOR FOR THE BH SERIES MICROSCOPES

Varian BEAM SHIELD

Advantest BGR-020772 BGR-020772 ADVANTEST T5335P PC Board

Credence 671-4351-00 rev A 671-4351-00 rev A Intersegment Communications Connector

KLA 712-023914-00 rev B 712-023914-00 rev B CCD TV camera for KLA 2XX reticle inspection system

OLYMPUS DBAP-FA-Z DBAP-FA-Z SERVO DRIVER

Varian VARIAN GRAPHITES

Advantest BGR-017577 BGR-017577 ADVANTEST T5335P PC Board BGR-017575

Credence 671-2119-00 671-2119-00 Analog Support Air flow / Bus Grant

KLA 750-653120-00C0 750-653120-00C0 Power Line Conditioner / Transformer for KLA 2122

Olympus WHK 10X/20L-H WHK 10X/20L-H EYEPIECES FOR TRINOCULAR MICROSCOPE

Varian SOURCE COVER

Advantest BGR-019486 BGR-019486 ADVANTEST T5335P PC Board

Credence 671-4270-02 REV A 671-4270-02 REV A Capture Processor PCB 1A

KLA 050-654234-00 050-654234-00 Lamp Micro Line Filament w/ clips

Olympus BA124L001 BA124L001 DC MOTOR W/ D500 GEAR HEAD

Varian MKS HPS VALVE 62161

Advantest BGR-020851 BGR-020851 ADVANTEST T5335P PC Board

Credence 671-4270-03 rev A 671-4270-03 rev A Capture Processor 1a pcb

KLA 740-100360-00 ORH 740-100360-00 ORH Mask Holder for 250 Mil x 6 inch masks for KLA 2xx reticle inspection system

Olympus BA124L001 BA124L001 DC MOTOR W/ D500 GEAR HEAD

Varian ISOLATION VALVE PARTS

Advantest BGR-017578 BGR-017578 ADVANTEST T5335P PC Board

Credence 671-4155-05 671-4155-05 Analog Support Module VHF PCB

KLA 740-100059-00 GWH 740-100059-00 GWH Glass Wafer Holder, 250-150 mm P1, for KLA 2xx reticle inspection system

Olympus LH50A LH50A Microscope illuminator, 50W 12 V

Varian various various VARIAN SOURCE PARTS

Advantest BGR-017579 BGR-017579 ADVANTEST T5335P PC Board

Credence 671-4098-952707 671-4098-952707 DUO SX Master Clock Dist. PCB

KLA 710-658036-20 710-658036-20 Alignment Processor board REV C3

Olympus BH3 (Parts) BH3 (Parts) Camera adapter and illuminator for Microscope

Varian MKS HPS VALVE 69542

Advantest BGR-016796 BGR-016796 ADVANTEST T5335P PC Board PGR-816796CC3

Credence 671-4283-01 REV 4 671-4283-01 REV 4 Duo SX ROM Sequencer TPI/PD PCB

KLA 710-658041-20 710-658041-20 Alignment PRocessor Phase 3 Board REV E0

Olympus Optical DBAP-FA-Z GA DBAP-FA-Z GA Servo Driver

Varian Turbo-V 250 MacroTorr Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type

Advantest BGR-018931 BGR-018931 ADVANTEST T5335P PC Board

Credence 671-4331-01 671-4331-01 DUO SX ROM Sequencer PCB

KLA 710-658046-20 710-658046-20 PRocessor Board REV E0

Omniguard 860UV-IR 860UV-IR UV-IR Fire detector, w/ mount

Varian E11030450 REV 3 E11030450 REV 3 VERT SCAN ACTUATOR

Advantest BGR-016794 BGR-016794 PGR-816794CC3 PC Board

Credence 670-9426-05 670-9426-05 DUO SX Controller I/O PCB

KLA 710-658177-20 710-658177-20 Interpolator phase 3 Board REV F1

OMRON r88d-ua02ha r88d-ua02ha servo driver

Varian E11040440 Rev 7 E11040440 Rev 7 Secondary workstation for implanter

Advantest BGR-016793 BGR-016793 ADVANTEST T5335P PC Board

Credence 671-0693-04 671-0693-04 Duo SX DMA2 Controller PCB

KLA 710-658172-20 710-658172-20 Y Interpolator C,PH3 Board REV J1

OMRON TL-W5WC2 TL-W5WC2 PROXIMITY SWITCH

Varian Turbo-V 250 MacroTorr Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type

Advantest BGR-019267 BGR-019267 ADVANTEST T5335P PC Board

Credence 671-4043-01 REV A 671-4043-01 REV A DUO SX FUNCTIONAL TEST CONTROLLER PCB

KLA 710-655651-20 710-655651-20 Cornerturn 3 PC board REV C0

OMRON E3C-DM2R 2 M E3C-DM2R 2 M PHOTOELECTRIC SWITCH

Various Vacuum Valves Vacuum Valves Various Vacuum valves (MKS, Varian, Fuji Seiki) and fittings

Advantest BGR-020900 BGR-020900 ADVANTEST T5335P PC Board

Credence 671-0951-04 671-0951-04 DUO SX Analog I/O Board

KLA 710-659412-00 710-659412-00 Mass Memory PCB REV C0

OMRON E3C-C E3C-C PHOTOELECTRIC SWITCH

VAT 14046-PE44-1016 14046-PE44-1016 Gate Valve 8"

Advantest BGR-019266 BGR-019266 ADVANTEST T5335P MRA I/F PC Board

Credence 671-4127-00 671-4127-00 Scan Memory PCB FOR A CREDENCE DUO SX TESTER

KLA 710-658232-20 710-658232-20 Memory Controller Phase 3 PC board REV H1

OMRON E5CJ E5CJ TEMPERATURE CONTROLLER

VAT 14040-je24-0004 14040-je24-0004 HV Gate Valve

Advantest BGR-017417 BGR-017417 ADVANTEST T5335P PC Board

Credence 671-4394-05 REV A 671-4394-05 REV A Test head connector PCB

KLA 710-658086-20 710-658086-20 PC Board, REV E0

OMRON E3S-X3CE4 E3S-X3CE4 TEMPERATURE CONTROLLER

VAT 14040-je24-0004 14040-je24-0004 HV Gate Valve

Advantest BGR-018824 Rev X03 BGR-018824 Rev X03 ADVANTEST T5335P PC Board

Credence 672-6051-01 672-6051-01 Support Module Interface tpi / td pcb

KLA 1007 1007 Chuck, prober, 6" gold chuck assembly

OMRON E3XR-CE4 E3XR-CE4 TEMPERATURE CONTROLLER

VAT 14040-je24-0004 14040-je24-0004 HV Gate Valve

Advantest BGR-018823 BGR-018823 ADVANTEST T5335P PC Board

CTI cryogenics CRYOTORR-100 CRYOTORR-100 Cryopump

KLA 655-6616141-00 655-6616141-00 Wafer stage, kla 21xx

OMRON E2E-C1C1 E2E-C1C1 PROXIMITY SWITCH

VERIFLO CORP. 42800147 42800147 PRESSURE VALVE

Advantest BGR-018822 BGR-018822 ADVANTEST T5335P PC Board PGR-818822BB2

CTI Cryogenics Cryotorr 100 Cryotorr 100 Cryopump

KLA 6400 6220 6400 6220 Set of New OEM Cables FOR SURFSCAN MODELS 6200 AND 6400

OMRON E3S-XE1 E3S-XE1 PHOTO ELECTRIC SWITCH

Vero Electronics 116-010069H 116-010069H Monovolt PK120 Power Supply, +5V 20A

Advantest BGR-018125 BGR-018125 ADVANTEST T5335P PC Board

CVC GTC-036 GTC-036 TERMOCOUPLE GAUGE TUBE

KLA 710-029946-00 Rev:XE 710-029946-00 Rev:XE Corrector-Formatter PCB M2A only

OMRON E3C-JC4P E3C-JC4P PHOTO ELECTRIC SWITCH

VEXTA UDK5114NA UDK5114NA 5-PHASE DRIVER

Advantest BGR-020815 BGR-020815 ADVANTEST T5335P PC Board

Cymer 4300 4300 Maintenance Tool kit and spare parts

KLA 710-023236-00 Rev B1 710-023236-00 Rev B1 P3 Scan delay FIR Filter PCB

OMRON E3X-A11 E3X-A11 PHOTO ELECTRIC SWITCH

VEXTA UPH569H-B UPH569H-B 5 PHASE STEPPING MOTOR

Advantest BIR-021807 BIR-021807 ADVANTEST T5335P PC Board

Cymer 01-13500-07 01-13500-07 Paddle for Cymer 4300 laser

KLA 710-022410-01 Rev A5 710-022410-01 Rev A5 AR GRAPHICS DISPLAY CONTROLLER 2 PCB

OMRON E3HT--DS3E2 E3HT--DS3E2 PHOTO ELECTRIC SENSOR

Vexta BLD1024H BLD1024H Brushless DC Motor Driver, DC24V

Advantest WUN-H90554AIR WUN-H90554AIR ADVANTEST air control unit

Dage 4000-HK-5-2 4000-HK-5-2 160-OT12-PTP-02 PULL TEST hook

KLA 710-029421-00 Rev C2 710-029421-00 Rev C2 SERVO DRIVE 2 III PCB

OMRON E3C--JC4 E3C--JC4 AMPLIFIER UNIT

Viton 43-2-131 43-2-131 O-ring seal, Roth & Rau

Advantest BGR-016797 BGR-016797 ADVANTEST T5335P PC Board (was 80207)

DELL PWB 9578D PWB 9578D GX B-V0A

KLA 710-023589-00 Rev B2 710-023589-00 Rev B2 SERVO DRIVE 1 III PCB

OMRON EE-SPW321 EE-SPW321 PHOTO MICROSENSOR

WARNER LINEAR K2G20-24V-BR-10lsc K2G20-24V-BR-10lsc LINEAR ACTUATOR , 24V DC

Advantest BGR-016796 BGR-016796 ADVANTEST T5335P PC Board

Delta ?? ?? Impulse Driver Board, 5000V, 3A, for PECVD

KLA 710-101836-02 Rev G3 710-101836-02 Rev G3 AUTOFOCUS 2 PCB

OMRON E32-TC200A E32-TC200A PHOTO ELECTRIC SWITCH

Wasco SV129-31W3A/2065 SV129-31W3A/2065 Vacuum pressure switch 75 Torr

Advantest BGR-021096 BGR-021096 ADVANTEST T5335P PC Board

DENSAN DSB-S17 DSB-S17 OUTPUT BOARD SDB-S17

KLA 710-022400-01 D5 710-022400-01 D5 Graphic Display Controller 1 PCB for KLA 2xx reticle inspection system

ONE AC CORP FMV 321S FMV 321S TRANSFORMER FOR KLA 7700 SERIES PSU

Watkins Johnston 903169-001 rev D 903169-001 rev D WJ 952 MFC REGULATION PCB

Advantest BGR-020765 BGR-020765 ADVANTEST T5335P PC Board

Despatch KK33UH-009-00-4,MC KK33UH-009-00-4,MC Thermocouple sensor probe

KLA 710-023602-00 B 710-023602-00 B Display System Trap, RF PCB for KLA 2xx reticle inspection system

ORIEL 68805 68805 POWER SUPPLY 40-200 WATTS FOR MERCURY ARC LAMP

WATLOW DC10-40P0-0000 DC10-40P0-0000 SOLID STATE POWER CONTROL, AMP: 55 AMPS, NEW

Advantest BGR-017418 BGR-017418 ADVANTEST T5335P PC Board HV PPS

Despatch 164700 164700 Thermocouple

KLA 710-023256-00 C2 710-023256-00 C2 P3 Data Input PCB for KLA 2xx reticle inspection system

ORIEL 68805 68805 ULTRAVIOLET LIGHT - LAMP HOUSING

WAVECREST DTS-2070C (-52) DTS-2070C (-52) Credence Duo Wavecrest digital time controller

Advantest BGK-017719 BGK-017719 ADVANTEST T5335P PC Board

Despatch CDF 7210 (SPARES) CDF 7210 (SPARES) 55 cm length of furnace belt

KLA 710-023141-00 710-023141-00 Assy, Formatter p3 PCB for KLA 2xx reticle inspection system

Oriental Motor 5RK40RGK-AM 5RK40RGK-AM REVERSIBLE MOTOR, 40W 100V 50/60 HZ

Weir HSS 100/5 HSS 100/5 Power Supply Unit

Advantest BGK-011702 BGK-011702 ADVANTEST T5335P PC Board

Deublin 55-000-003 55-000-003 Deublin, Rotary Union 5/8" NEW

KLA 710-028001-00 A1 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system

ORIENTAL MOTOR SEE COMMENTS SEE COMMENTS GEAR HEADS

Weir HSS 100/5 HSS 100/5 Switching Power Supply Unit AC to DC

Advantest WUN-MONITORBOX WUN-MONITORBOX ADVANTEST power supply monitoring box, T5335P

Dimetric JGT-10F JGT-10F Relay 380V 80A

KLA 710-028014-01 E 710-028014-01 E ADBA PCB for KLA 2xx reticle inspection system

ORIENTAL MOTOR 4LF45N-2 4LF45N-2 LINEAR HEAD

Wiha 368 3 SW 368 3 SW Ball end screw driver

Advantest Hifix for PQFP80 (14 x 20) Hifix for PQFP80 (14 x 20) Hi-fix for Advantest T5371 package type PQFP80 (14 x 20)

DNS 2-39-06099 2-39-06099 NISSHIN VACUUM gauge 0-76 cmHg

KLA 710-028001-00 A1 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system

ORIENTAL MOTOR 21K6GK-A2 21K6GK-A2 INDUCTION MOTOR

WIKA 233.50.100 233.50.100 -1 BAR PRESSURE GAUGE G1/2A D1001/2"

Advantest BGR-016796 BGR-016796 ADVANTEST T5335P PC Board

DNS 2-39-06106 2-39-06106 NISSHIN pressure gauge 0-0.5 kgf cm2

KLA 710-023596-00 C2 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system

ORIENTAL MOTOR 4RK25RGK-AM 4RK25RGK-AM REVERSIBLE MOTOR

Yamatake honeywell WLS302 WLS302 switch

Advantest BGR-018822 BGR-018822 ADVANTEST T5335P PC Board

DOMNICK HUNTER QR 010 REV.4.0 QR 010 REV.4.0 FILTER

KLA 710-023596-00 C2 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system

ORIENTAL MOTOR 21K6GN-A 21K6GN-A

Zeiss Axiotron (spare Parts) Axiotron (spare Parts) Axiotron microscope spare parts

Advantest BGR-017418 BGR-017418 ADVANTEST T5335P PC Board

Driver board 005843 005843 Stepper motor driver board

KLA 710-023596-00 C2 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system

ORIENTAL MOTOR 51K40GN-AT 51K40GN-AT

Zeiss 910137 910137 Microscope illumination transformer

Advantest T5335P T5335P Boards from an Advantest T5335P Test system

DWYER RMA-10-SSV RMA-10-SSV AIR FLOWMETER,1/8 NPT CONN.,UP TO 100PSI

KLA 710-039524-00 A 710-039524-00 A AP 1 210 series PCB for KLA 2xx reticle inspection system

ORIENTAL MOTOR PB204-101 PB204-101

Zeiss 990634-5100 990634-5100 Joystick, Carl Zeiss

Advantest / アドバンテスト BGR-018823 REV X03 BGR-018823 REV X03 PC BOARD FOR ADVANTEST T5335P

EBARA 30024-02 30024-02 RING, ONE PIECE EBARA FREX 200

KLA 710-028001-00 A1 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system

ORIENTAL MOTOR 5rk40gk-a2 5rk40gk-a2 MOTOR ELECTRIC

Zeiss 477473-0207 477473-0207 Cover plate

AEG 2A 400-100 H 2A 400-100 H Power Driver Controller 2A

EBARA C-1120-008-0001 C-1120-008-0001 BALL SCREW, APROX 170 CM LENGTH, FOR EBARA FREX 200

KLA 710-028014-00 B3 710-028014-00 B3 Image Memory Address PCB for KLA 2xx reticle inspection system

ORIENTAL MOTOR 2IK6GK-A 2IK6GK-A MOTOR ELECTRIC

Zeiss 475690-0206 475690-0206 Joint plate

AGILENT 03577-90212 03577-90212 AGILENT 3571A network analyzer service manual

Ebara FREX 200 (Spares) FREX 200 (Spares) PRESSURE SENSITIVE VALVE FOR EBARA FREX 200

KLA 710-028001-00 A1 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system

ORIENTAL MOTOR XU9 XU9 MOTOR ELECTRIC FO FR CARRIER MOTOR

Zeiss Stage part Stage part moveable x,y stage part

Agilent / HP / Verigy GPIB IEEE488 Cable GPIB IEEE488 Cable GPIB IEEE488 Cable

Ebara A30W A30W Vacuum Pump

KLA 710-037887-01 B 710-037887-01 B BMMC M2A with RIA signal mod. PCB for KLA 2xx reticle inspection system

ORIENTAL MOTOR 4GK15K 4GK15K MOTOR ELECTRIC

Zeiss C35 C35 35mm Camera with microscope attachments

Agilent / Verigy / Keysight 4261A 4261A LCR METER

Ebara A30W A30W Vacuum Pump

KLA 710-029767-00 REV D 710-029767-00 REV D UPLL RF PCB for KLA 2xx reticle inspection system

ORIENTAL MOTOR MBM425-411 MBM425-411 SPEED CONTROL MOTOR

Zeiss AxioMAT screws AxioMAT screws AxioMAT Thumbscrews, spare parts

Agilent / Verigy / Keysight 5335A 5335A Universal Frequency Counter

EDA Industries PCBA 05568 REV 1.6 PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven

KLA 710-036420-00 XB 710-036420-00 XB Defect Concatenator 4 PCB -IAS compatible-newest version PCB for KLA 2xx reticle inspection system

ORIENTAL MOTOR PH596-A PH596-A STEPPING MOTOR

Zeiss Turret Turret Turret 4 position for Zeiss, Leica and others, good condition

Agilent / Verigy / Keysight E4915A E4915A Crystal impedance LCR meter

EDA Industries PCBA 05758 PCBA 05758 UBTS Diagnostic Board for BIB oven

KLA 710-036380-00 C 710-036380-00 C IAS parameter 2 PCB for KLA 2xx reticle inspection system

ORIENTAL MOTOR UPH564-A UPH564-A 5 PHASE STEPPING MOTOR

Zeiss corygon 2.8/60mm corygon 2.8/60mm 2.8/60mm objective lens

Agilent / Verigy / Keysight 1671G 1671G Logic Analyzer

EDA Industries PCBA 05568 REV 1.6 PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven

KLA 710-023279-00 H2 710-023279-00 H2 04/16 level dump PCB for KLA 2xx reticle inspection system

ORIENTAL MOTOR 4GN30K 4GN30K GEAR HEAD

ZENITH ZPS-400 ZPS-400 Multiple voltage power supply

Agilent / Verigy / Keysight 1671G 1671G Logic Analyzer

EDA Industries PCBA 05431 PCBA 05431 DRIVER module for BIB oven

KLA 710-040042-00 XB 710-040042-00 XB e-series detector, threshold 2x2 259 M2A PCB for KLA 2xx reticle inspection system

ORIENTAL MOTOR PH265-02 PH265-02 2-PHASE STEPPING MOTOR

Air Products Precision Tool Precision Tool Manometer 1.5 Bar

EDA Industries PCBA 07009 PCBA 07009 PTDM TO ART 200 EXTENDER CARD

KLA 710-023455-00 XC 710-023455-00 XC e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system

PALL GLF6101VF4 GLF6101VF4 GASKLEEN GAS FILTER

AIR PRODUCTS R-704-VCR R-704-VCR PRESSURE REGULATORS TEST

EDA Industries PCBA 05568 REV 1.4 PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven

KLA 710-029694-00 XF 710-029694-00 XF e-series detector,data 259 ROQ PCB for KLA 2xx reticle inspection system

PALL GLF6101VF4 GLF6101VF4 GAS FILTER

AIR PRODUCTS F-074-VCR F-074-VCR PRESSURE REGULATOR 10-50L MIN HCL

EDA Industries PCBA 05568 REV 1.4 PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven

KLA 710-023455-00 XC 710-023455-00 XC e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system

Panasonic M91C90GD4W1 M91C90GD4W1 AC Geared Motor (G Series)

Alcatel flange flange Alcatel pump flange 4" ID 5 1/4" OD, SST

EDA Industries PCBA 05568 REV 1.2 PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 6 drivers

KLA 710-039924-00 A1 710-039924-00 A1 SERVO DRIVE 3 IV PCB for KLA 2xx reticle inspection system

PANASONIC MF A 020LATNP MF A 020LATNP AC SERVO MOTOR

Allen Bradley 800F-PN3GX11 800F-PN3GX11 Contact module 24V, AMI S3320-15-1, pk of 3

EDA Industries PCBA 05568 REV 1.6 PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven with 6 drivers

KLA 710-028287-01 G1 710-028287-01 G1 servo 4 II PCB for KLA 2xx reticle inspection system

PARKER 60 SERIES 60 SERIES QUICK COUPLING FEMALE COUPLER BH4-60

Allen Bradley PanelView Plus 400 PanelView Plus 400 Operator Panel, Serial

EDA Industries PCBA 05568 REV 1.3 PCBA 05568 REV 1.3 Pattern test Driver module for BIB oven with 3 x PSU

KLA 710-023599-01 B1 710-023599-01 B1 Computer IF (RF) PCB for KLA 2xx reticle inspection system

PATLITE SEFW-A SEFW-A SIGNAL TOWER

Allen Bradley Micro-Logix 1200 Micro-Logix 1200 PLC Module

EDA Industries PCBA 05568 REV 1.4 PCBA 05568 REV 1.4 Pattern test Driver PCB for BIB oven

KLA 710-037718-00 C 710-037718-00 C SBC 3.5 inch floppy PCB for KLA 2xx reticle inspection system

Pepperl Fuchs OBE5000-18GM70-SE5 OBE5000-18GM70-SE5 proximity sensor

Allen Bradley 1762-IF4 1762-IF4 PLC 4-ch input module

EDA Industries PCBA 05568 REV 1.6 PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers

KLA 710-023273-00 C 710-023273-00 C 512 K ram, 210 series PCB for KLA 2xx reticle inspection system

pepperl&fuchs OBE5000-18GM70-E5-V1 OBE5000-18GM70-E5-V1 Proximity sensor

AMAT 0040-77544 0040-77544 Rorze Ergo Loader Turn Plate Mirra CMP FABS-202 AMAT 0040-77544

EDA Industries PCBA 05568 REV 1.2 PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 3 x PSU

KLA 710-037717-00 A 710-037717-00 A FDD controller, 3.5 inch PCB for KLA 2xx reticle inspection system

Pfeiffer PTR26761A PTR26761A Pirani Gauge, D-35614 TPR265

AMAT 0190-24834-002 0190-24834-002 MFC Celerity ufc-8165 1L H2

EDA Industries PCBA 05568 REV 1.6 PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers

KLA 710-029924-00 REV F 710-029924-00 REV F Preprocessor 1 (RF) PCB for KLA 2xx reticle inspection system

Pittman GM9213E081 GM9213E081 GEAR motor 19.1 vdc 65.5:1 RATIO

AMAT 0041-61611 0041-61611 Retaining ring, Titan II, 8" *NEW*

EDA Industries ERNI 914796 RC 914796 RC BURN IN BOARD SOCKET Hard Metric Connectors (154 Contacts, Vertical, Gold Plated).

KLA 710-029927-00 REV E 710-029927-00 REV E Preprocessor 2 (RF) PCB for KLA 2xx reticle inspection system

PM500 09 P MASK MASK RETICLE

AMAT 0010-20422 0010-20422 Endura 5500 PVD 8" Shield treatment and cover assembly

Edwards Speedivalve Speedivalve SPEEDI VALVE

KLA 710-037889-00 Rev XA 710-037889-00 Rev XA Preprocessor I/O PCB for KLA 2xx reticle inspection system

PMS MICRO LPC-210 MICRO LPC-210 MICROLASER PARTICLE COUNTER

AMI B390.05 B390.05 belt

Edwards 040020030 040020030 Cable, motor drive, 5M seiko

KLA 710-036106-00 REV D 710-036106-00 REV D Defect Highlighting Control 3 PCB for KLA 2xx reticle inspection system

Power Launch (?) FU100F FU100F Valve tube electron tube

AMI 885 885 Screen printer Squeegee box lot

Edwards Flange Flange Edwards pump flange 5 1/8" OD 4" ID

KLA 710-037699-00 XA1 710-037699-00 XA1 gain/offset testpoint board PCB for KLA 2xx reticle inspection system

Power One HPM5A2A2KS234 HPM5A2A2KS234 5V Switching Power Supply

AMI Presco USP206-2E USP206-2E Solar wafer conveyor, 156mm for use with screen printers, etc.

Edwards Flange Flange Edwards pump flange 10 7/8" i.d. 11 3/8" O.D.

KLA 710-102570-02 Rev 1 710-102570-02 Rev 1 Autofocus Pre-Amplifier PCB PCB for KLA 2xx reticle inspection system

Power One HPM5C1C1E1E1H1S240 HPM5C1C1E1E1H1S240 Switching Power Supply

Anaheim Automation 23D306S 23D306S Stepper motor 3.4VDC 2.9A

EDWARDS ETM63X PLASMA ETM63X PLASMA HEATING CONTROL UNIT

KLA 210e and 259 (Spares) 210e and 259 (Spares) Encoders, 2500 LPI for KLA 2xx reticle inspection system

Power One HPM5F2F2KS233 HPM5F2F2KS233 2V Switching Power Supply

Anaheim Automation TM4500 AA4520 TM4500 AA4520 Stepper controller/driver board

Edwards iQDP40 iQDP40 Dry Mechanical Pump

KLA 740-210171-00 ORH 740-210171-00 ORH Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system

Power One HPM5E2E2KS228 HPM5E2E2KS228 28 V Switching Power Supply

ANELVA 954-7700 954-7700 Vacuum Feedthrough

Edwards STP-A1303C STP-A1303C TURBOMOLECULAR PUMP

KLA 740-401-320 740-401-320 AIRLOCK ASSEMBLY PCB

Power One SPM2E1E1S304 SPM2E1E1S304 28 V Switching Power Supply

Advanced Energy APEX-3013 APEX-3013 RF GENERATOR

AE (Advanced Energy) HFV 8000 HFV 8000 Generator

Applied Materials Mirra Ontrak Mirra Ontrak Poly/STI CMP

Applied Materials CENTURA MOCVD CENTURA MOCVD NLighten NEON

BROOKS AUTOMATION ATM-305 ATM-305 3 Axis Robot, Refurbished

Credence 671-4331-01 671-4331-01 DUO SX ROM Sequencer PCB

Ebara FREX300S2 Tungsten FREX300S2 Tungsten Tungsten CMP

ENI OEM-12A OEM-12A Generator

FSI 903893-200 903893-200 Helios 52 di water meter operation and maintenance manual

KARL SUSS PM-8 PM-8 Analytical Wafer Prober

KLA-Tencor 710-013838-00 Rev L 710-013838-00 Rev L PCB Universal Video Mux for KLA 2xx reticle inspection systems

Lintec RAD-2500M/8 RAD-2500M/8 Wafer mounter

Nikon Optistation 3 Optistation 3 Wafer Inspection System

RF Services ICPSM ICPSM Match

Semitool PSC 101 PSC 101 Double Stack SRD (Set up for solar wafer use)

Tegal 903E 903E Dry Etcher

TENCOR INSTRUMENTS AC 100V AC 100V POWER SW

WIKA 233.50.100 233.50.100 -1 BAR PRESSURE GAUGE G1/2A D1001/2"

Advanced Energy ATX-600 ATX-600 RF GENERATOR

AE (Advanced Energy) Hilight 133 Hilight 133 Generator

Applied Materials CENTURA 2 DPS CENTURA 2 DPS Deep Trench Etcher, 2 chambers

Applied Materials 0050-76652 REV A 0050-76652 REV A GAS LINE CHAMBER 2 PROCESS MFC 19 MIXED

Brooks etc Spare Parts Spare Parts Various Robots, RF generators and Rf Matches for sale

Credence 670-9426-05 670-9426-05 DUO SX Controller I/O PCB

Ebara Frex 300 Frex 300 W CMP

ENI OEM-12A OEM-12A Generator

FSI Excalibur Excalibur Manual

Karl Suss MA200 MA200 Compact Mask Aligner with TSA

KLA-TENCOR 259 (spare parts) 259 (spare parts) Reticle Inspection - SPARE PARTS

Long Hill Ind. Ltd. LH 836 LH 836 Automated Wafer taper

NIKON NSR-204B NSR-204B Scanner DUV (GIGAPHOTON G20K2 KRF laser)

RF Services LF Splitter LF Splitter Miscellaneous

Semitool ST-921R-AA ST-921R-AA Spin Rinse Dryer

TEGAL 900 900 Plasma dry etch

TENCOR INSTRUMENTS AC 100-120 V AC 100-120 V POWER SW

Woollam VUV-VASE (Gen II) VUV-VASE (Gen II) Ellipsometer

Advanced Energy ATX-600 ATX-600 RF GENERATOR

AE (Advanced Energy) Hilight 133 Hilight 133 Generator

Applied Materials Centura 5300 HDP Omega Centura 5300 HDP Omega Dry etch cluster tool with 2 Chambers SIO2 etch

Applied Materials Reflexion Reflexion CMP system

Brooks PRI ABM 205 ABM 205 single arm atmospheric wafer handling robot

Credence 671-4127-00 671-4127-00 Scan Memory

Ebara Frex 300 Frex 300 STI CMP ( missing front end robot and load port)

ENI OEM-12A OEM-12A Generator

FSI SATURN & TITAN SATURN & TITAN Manuals

Karl Suss MA200 MA200 Compact Mask Aligner with TSA

KLA-Tencor TFE Gun Controller TFE Gun Controller Gun Controller Chassis Part No 720-05888-000

Longhill LH800 LH800 Wafer transfer machine

Nikon Optistation 3A Optistation 3A Automatic Wafer Inspection Station

RF Services LF Splitter LF Splitter Miscellaneous

Semitool Scepter Solvent Scepter Solvent Batch Wafer Processing

TEGAL 903E 903E Plasma dry etch

TERADYNE CATALYST CATALYST AUTOMATED TEST EQUIPMENT

Xantrex XFR 40-70 NV8200P XFR 40-70 NV8200P DC Power Supply Magnet

Advanced Energy HFV-8000 HFV-8000 RF GENERATOR

AE (Advanced Energy) Hilight 133 Hilight 133 Generator

Applied Materials Centura Enabler Centura Enabler Dry etcher

Applied Materials 0020-20626 REV C 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE

Brooks PRI ATM 204/207 ATM 204/207 single arm atmospheric wafer handling robot

Credence 671-0693-04 671-0693-04 Duo SX DMA2 Controller PCB

EBARA 30024-02 30024-02 RING, ONE PIECE EBARA FREX 200

ENI OEM-12A OEM-12A Generator

FSI Mercury Mercury Manual

Karl Suss MA150 MA150 Mask Aligner

KLA-Tencor JDS-Uniphase 2214-30 SLQ TT JDS-Uniphase 2214-30 SLQ TT LASER FOR KLA 7700 SURFSCAN

LOOMIS LCD 2P LCD 2P Wafer Scriber with Breaker Option

NIKON NSR-204B NSR-204B 248 nm (KrF) excimer exposure system

RF Services LF Splitter LF Splitter Miscellaneous

Semitool Equinox Cu Equinox Cu Cu Plating tool with 4 plating chambers and 5 preclean chambers

Tegal Tegal Match Tegal Match Match

Teradyne 405-097-00 405-097-00 Power-One Dual 5 V Power Supply 150 Amp, 230 VAC, for Teradyne J971 tester

Yamatake honeywell WLS302 WLS302 switch

Advanced Energy ION SOURCE SUPPLY ION SOURCE SUPPLY RF GENERATOR

AE (Advanced Energy) Hilight 133 Hilight 133 Generator

Applied Materials ENDURA2 Chamber only ENDURA2 Chamber only Amber-(Ti) chamber

Applied Materials Reflexion LK Reflexion LK CMP system

Brooks PRI ATM 305/307 ATM 305/307 single arm atmospheric wafer handling robot

Credence 671-4359-00 671-4359-00 DPAC Parallel Pattern Memory

EBARA C-1120-008-0001 C-1120-008-0001 BALL SCREW, APROX 170 CM LENGTH, FOR EBARA FREX 200

ENI OEM-12A OEM-12A Generator

FSI Mercury MP Mercury MP Operation and Maintenance Manual

Karl Suss Mask Mask 9inch Mask for 8inch Aligner

KLA-Tencor 8100 (Spares) 8100 (Spares) Loadlock assembly for CD-SEM

LOTUS Spray Cleaner Spray Cleaner WET Clean for parts

NIKON Optiphot 200 (For spares use) Optiphot 200 (For spares use) Wafer Inspection Microscope (Parts Tool Only)

RF Services LF Splitter LF Splitter Miscellaneous

Semix Tazmo Tazmo SOG track

Tegal Tegal Match Tegal Match Match

TERADYNE CATALYST CATALYST AUTOMATED TEST EQUIPMENT

Yaskawa XU RC350D-C31+ERCR-RS09-A003 XU RC350D-C31+ERCR-RS09-A003 atmospheric wafer robot( DNS SU-3000)

Advanced Energy MDX-10K MDX-10K RF GENERATOR

AE (Advanced Energy) Hilight 136 Hilight 136 Generator

Applied Materials ENDURA2 Chamber only ENDURA2 Chamber only ESIP chamber

Applied Materials Centura AP DPS 2 G5 Centura AP DPS 2 G5 Polysilicon etcher, 3 chamber

Brooks PRI EDC-2400/2800 EDC-2400/2800 PRI robot controller(dual arm robot)

Credence 671-4043-01 REV A 671-4043-01 REV A DUO SX FUNCTIONAL TEST CONTROLLER PCB

Ebara FREX 200 (Spares) FREX 200 (Spares) PRESSURE SENSITIVE VALVE FOR EBARA FREX 200

ENI OEM-12A XL OEM-12A XL Generator

FSI Excalibur ISR Excalibur ISR Manual

Karl Suss MA8/BA8 Gen 3 MA8/BA8 Gen 3 TSA/BSA Mask Aligner

KLA-Tencor 8100 (Spares) 8100 (Spares) Load-lock assembly for CD SEM

LUMONICS SUPERCLEAN SUPERCLEAN Laser Marking System

Nikon Optiphot 200 Optiphot 200 Wafer Inspection Microscope

RF Services LF Splitter LF Splitter Miscellaneous

Sensarray 1530D-8-0023 1530D-8-0023 Process Probe Instrumented Wafer

Tegal Tegal Match Tegal Match Match

TERADYNE CATALYST CATALYST AUTOMATED TEST EQUIPMENT

Yaskawa XU RC350D-C61+ERCR-RS10-C003 XU RC350D-C61+ERCR-RS10-C003 atmospheric wafer robot( DNS SU-3000)

Advanced Energy MDX-10K MDX-10K RF GENERATOR

AE (Advanced Energy) Hilight 136 Hilight 136 Generator

Applied Materials Centura WCVD Centura WCVD WSIX(OPTIMA)

Applied Materials 0090-20042 REV D 0090-20042 REV D ASSY 3 WAY VALVE

Brooks PRI PRE 300/300B/301/301B PRE 300/300B/301/301B wafer pre-aligner

Credence 672-4359-00 672-4359-00 DPAC Parallel Pattern Memory

Ebara AAS70WN AAS70WN Dry pump

ENI OEM-12A XL OEM-12A XL Generator

FSI 912629 912629 Manual

Karl Suss MJB4 MJB4 350W Mask Aligner

KLA-TENCOR 5xxx Spare Parts 5xxx Spare Parts Set of Spare Parts from a KLA 5015

MAC 225B-111BAAA 225B-111BAAA Pneumatic solenoid valve

NIKON Optiphot 88 Optiphot 88 Wafer Inspection Microscope

RF Services RFS 1000 RFS 1000 Match

Sensarray 1530D-8-0023 1530D-8-0023 Process Prober Instrumented Wafer

Tegal Tegal Match Tegal Match Match

Teradyne Catalyst Catalyst Mixed SIGNAL TEST SYSTEM

Yaskawa XU RC350D-K01+ERCR-RS09-A003 XU RC350D-K01+ERCR-RS09-A003 atmospheric wafer robot( DNS SU-3100)

Advanced Energy MDX-10K MDX-10K RF GENERATOR

AE (Advanced Energy) ION Source Supply ION Source Supply Generator

Applied Materials SEMVISION G3 Lite SEMVISION G3 Lite Defect Review SEM

Applied Materials Mesa Mesa CMP cleaning system

Brooks PRI PRE 200/200B PRE 200/200B wafer pre-aligner

Credence 671-0951-04 671-0951-04 DUO SX Analog I/O Board

ECI QL-10-EX QL-10-EX PLATING BATH ANALYSER

ENI OEM-2000 OEM-2000 Generator

FSI 904602-200 904602-200 Manual

KARL SUSS MA 150 MA 150 Mask Aligner (Spare Parts)

KLA-Tencor 8100 (Spares) 8100 (Spares) Load-lock assembly for CD SEM

MACTRONIX UKA-625 UKA-625 Eureka III Jr. Manual Wafer Transfer

Nikon NSR-S204B NSR-S204B 248 nm (KrF) excimer exposure system

RF Services RFS 1000 RFS 1000 Match

SENTECH Senduro 300 Senduro 300 Thin Film measurement

Tegal Tegal Match Tegal Match Match

Teradyne J971SP (Spares) J971SP (Spares) Boards from VLSI test system

Yaskawa XU RCM9205 with ERCR-NS01-A003 controller XU RCM9205 with ERCR-NS01-A003 controller atmospheric wafer robot for KLA

Advanced Energy MDX-20K MDX-20K RF GENERATOR

AE (Advanced Energy) LF-5 LF-5 Generator

Applied Materials ENDURA2 Chamber only ENDURA2 Chamber only MOALD (IMP Ti) Chamber

Applied Materials Centura DPS II Advantedge POLY Centura DPS II Advantedge POLY POLY ETCHER, 4 CHAMBER

Brooks PRI ESC-200/212/212B/222/218 ESC-200/212/212B/222/218 PRI robot controller( single arm robot)

Credence 672-6051-03 672-6051-03 Support Module Interface PCB for a Credence Duo tester

ECO Snow VersaClean 1200 VersaClean 1200 Mask / Substrate cleaner

ENI OEM-6 OEM-6 Generator

FSI 906496-100 906496-100 Manual

KARL SUSS PM 8 PM 8 Manual Prober

KLA-Tencor 710-401249-01 Rev F 710-401249-01 Rev F DRIVER BOARD for KLA 5xxx

MACTRONIX UKA-825 UKA-825 Wafer Transfer Tool - Eureka Jr. for 200 mm Wafers

Nikon NSR-S203B NSR-S203B 248 nm (KrF) excimer exposure system

RF Services RFS 1000 RFS 1000 Match

Seren L301 L301 RF Generator

Tegal Tegal Match Tegal Match Match

Teradyne 405-142-00 405-142-00 Power Supply 150 Amp, 230 VAC

Yaskawa XU RCM9206 robot alone XU RCM9206 robot alone atmospheric wafer robot for KLA

Advanced Energy MDX-L12 MDX-L12 RF GENERATOR

AE (Advanced Energy) LF-5 LF-5 Generator

Applied Materials ENDURA2 Chamber only ENDURA2 Chamber only RfxT_CU Chamber

Applied Materials ENDURA CL Chamber Only ENDURA CL Chamber Only Chamber D (Ver. 001) CPI-VMO(eSIP TAN)

Bruce BDF-41 BDF-41 Horizontal diffusion furnace, 4 tube, ATM Ox

Credence 671-4127-00 671-4127-00 Scan Memory PCB FOR A CREDENCE DUO SX TESTER

EDA Industries PCBA 05568 REV 1.6 PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven

ENI OEM-6 OEM-6 Generator

FSI Excalibur Excalibur Manual 905364-200

Karl Suss MA 25 MA 25 Mask Holder

KLA-Tencor 710-401249-01 Rev F 710-401249-01 Rev F Driver Board for KLA 5xxx

MACTRONIX UKA-650 UKA-650 Wafer Transfer Tool - Eureka III Sr. for 150mm Wafers

Nikon NSR-S203B NSR-S203B 248 nm (KrF) excimer exposure system

RF Services RFS 1000 RFS 1000 Match

Seren L601 L601 RF Generator

Tegal Tegal Match Tegal Match Match

Teradyne 961-061-00 961-061-00 Teradyne J971 Power Supply

Yaskawa XU RSM53E0 with XU-CM6180 controller XU RSM53E0 with XU-CM6180 controller atmospheric wafer robot( Ebara Frex 300 CMP)

Advanced Energy MDX-L12 MDX-L12 RF GENERATOR

AE (Advanced Energy) LF-5 LF-5 Generator

Applied Materials ENDURA2 Chamber Only ENDURA2 Chamber Only WSI chamber only

Applied Materials Centura WCVD Centura WCVD WxZ Optima

BRUKER Quantax 200 Quantax 200 Energy Dispersive X- Ray Spectrometer

Credence 671-4394-05 REV A 671-4394-05 REV A Test head connector PCB

EDA Industries PCBA 05758 PCBA 05758 UBTS Diagnostic Board for BIB oven

ENI OEM-6 OEM-6 Generator

FSI Excalibur ISR Excalibur ISR Manual Prints & Product Structures

Karl Suss MA8 BA8 MA8 BA8 Mask Aligner with BSA

KLA-Tencor 750-40426.. 5xxx Spare Part 750-40426.. 5xxx Spare Part BIT 3 COMPUTER COP for 5xxx Spare Part

MagneTek DS 316 DS 316 GPD 503

Nisshin 7 kgf/cm2 7 kgf/cm2 pressure gauge

RF Services RFS 1000 RFS 1000 Match

Seren AT20 AT20 Match

Tegal Tegal Match Tegal Match Match

Teradyne 961-128-00 961-128-00 Teradyne J971 Power Supply

Yaskawa XU RCM9206 XU RCM9206 atmospheric wafer robot( KLA 2835i)

Advanced Energy MDX-L6 MDX-L6 RF GENERATOR

AE (Advanced Energy) LF-5 LF-5 Generator

Applied Materials ENDURA2 Chamber Only ENDURA2 Chamber Only WSI chamber only

Applied Materials 0020-20483 REV E 0020-20483 REV E HUB LAMP CORNER COVER

BRUKER VERTEX 80V VERTEX 80V FT-IR Spectrometer

Credence 671-4270-03 671-4270-03 Capture Processor

EDA Industries PCBA 05568 REV 1.6 PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven

ENI OEM-6 OEM-6 Generator

FSI Booster Pump Booster Pump Manual

Karl SUSS MA200 MA200 Mask Aligner

KLA-Tencor 710-401536-00 FOR kla 5XXX 710-401536-00 FOR kla 5XXX ASSY NO 401536 00 ENCODER INTERFACE

Maple Systems HMI530TV45 HMI530TV45 Touch Screen

Nisshin 4 kgf/cm2 4 kgf/cm2 pressure gauge

RF Services RFS 1000 RFS 1000 Match

Seren AT30 AT30 Match

Tegal Tegal Match Tegal Match Match

TERADYNE IP750EX IP750EX AUTOMATED TEST EQUIPMENT For CMOS Image Sensor testing

YASKAWA ERCR-RS10-C003 ERCR-RS10-C003 CONTROLLER FOR DNS SU3000 ROBOT

Advanced Energy NAVIGATOR-10013 NAVIGATOR-10013 RF GENERATOR

AE (Advanced Energy) LF-5 LF-5 Generator

Applied Materials 0010-10527 0010-10527 Polyimide ESC PEDESTAL, MxP-5200 Poly 8" Flat

Applied Materials Desica Desica CMP Cleaning system

BTU PV614 PV614 Fast Firing Furnace

Credence 672-6051-01 672-6051-01 Support Module Interface tpi / td pcb

EDA Industries PCBA 05431 PCBA 05431 DRIVER module for BIB oven

ENI OEM-6 OEM-6 Generator

FSI Saturn MP Saturn MP Manual 500539-001

Karl Suss MA200 MA200 Mask Aligner

KLA-Tencor 710-401249-00 REV E for kla 5xxx 710-401249-00 REV E for kla 5xxx Driver Board for KLA 5xxx

Maple Systems HMI530TV45 HMI530TV45 Touch Screen

Nisshin 0-76 cmHg 0-76 cmHg vacuum gauge

RF Services RFS 1000 RFS 1000 Match

Seren AT35 AT35 Match

Tegal Tegal Match Tegal Match Match

Teradyne 950-662-02/A 950-662-02/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 60619 9341

Yield Engineering YES-450PB6-2P YES-450PB6-2P Polyimide Bake Oven

Advantech IPC-5475 IPC-5475 data acquisition card

AE (Advanced Energy) MDX 1.5 MDX 1.5 Generator

Applied Materials 0090-05530 0090-05530 RF GENERATOR

Applied Materials Centura AP DPS 2 Advantedge Mesa Centura AP DPS 2 Advantedge Mesa Polysilicon Etcher with 4 chambers

Buehler Ecomet 6 Ecomet 6 Variable speed benchtop grinder

Credence 671-2119-00 671-2119-00 Analog Support Air flow Bus Grant PCB , for Credence DUO tester

EDA Industries PCBA 07009 PCBA 07009 PTDM TO ART 200 EXTENDER CARD

ENI OEM-6 OEM-6 Generator

FSI Saturn MP Saturn MP Manual 500539-004

Karl SUSS MA200 MA200 Mask Aligner

KLA-Tencor Surfscan 4500 Surfscan 4500 Unpatterned Wafer Surface Inspection

March Plasma Asher

Nisshin 0-1 kgf/cm2 0-1 kgf/cm2 pressure gauge

RF Services RFS 1000 RFS 1000 Match

Seren AT35DSE2 AT35DSE2 Match

Tegal Tegal Match Tegal Match Match

Teradyne 950-656-00 rev B 950-656-00 rev B PCB from test system

Yield Engineering YES-450PB YES-450PB Polyimide Bake Oven

Advantech HK-6323 HK-6323 data acquisition card ISA

AE (Advanced Energy) MDX 1.5K MDX 1.5K Generator

Applied Materials 0090-05530 0090-05530 RF GENERATOR

Applied Materials 0140-20502 REV D 0140-20502 REV D HARN ASSY AC 2-PHASE DRIVER

CABLE

Credence 671-4155-05 671-4155-05 Analog Support Module VHF PCB for Credence Duo Tester

EDA Industries PCBA 05568 REV 1.4 PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven

ENI OEM-6 OEM-6 Generator

FSI Booster Pump Booster Pump Manual

Karl Suss MA 56 MA 56 Mask Aligner

KLA-Tencor 710-404146-00 REV A for KLA 5XXX 710-404146-00 REV A for KLA 5XXX ASSY BOARD FOR KLA 5XXX

MATHESON TRI.GAS TRI.GAS ROTAMETER

Nissin Exceed 3000AH Exceed 3000AH Medium Current Implanter

RF Services RFS 1000 RFS 1000 Match

Seren AT35VFC AT35VFC Match

Tegal Tegal Match Tegal Match Match

Teradyne 953-003-01 953-003-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 7221 9720

Yield Engineering YES-15 YES-15 HMDS Vapor Prime Oven

Advantech PCL-745B PCL-745B data acquisition card 2-port RS422/485 Rev B1

AE (Advanced Energy) MDX 1.5K MDX 1.5K Generator

Applied Materials P-5000 P-5000 RF GENERATOR

Applied Materials Kawasaki 4.0 Kawasaki 4.0 Fab Interface Module

CAJON SS-4-VC0 SS-4-VC0 FITTINGS

Credence 671-4351-00 671-4351-00 Intersegment Communications Connector

EDA Industries PCBA 05568 REV 1.4 PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven

ENI OEM-6 OEM-6 Generator

FSI Proteus 1 Proteus 1 Manual

KARL SUSS MA6 MA6 Mask Aligner

KLA-TENCOR 8100 (Spares) 8100 (Spares) Load-lock assembly for CD SEM

MATRIX 0995-99844 0995-99844 System one etcher model 403 installations and operations manual

Nissin Exceed 2000 Exceed 2000 Medium Current Ion Implanter

RF Services RFS 1000 RFS 1000 Match

Seren R601 R601 RF Generator

Tegal Tegal Match Tegal Match Match

Teradyne 950-542-00 950-542-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 30420 9208

Yield Engineering 58 58 HMDS Vapor Prime Oven

AMAT P5000 TEOS 5199 CVD 6"

Advantech PCL-730 PCL-730 data acquisition card 32ch TTL DIO

AE (Advanced Energy) MDX 10K MDX 10K Generator

Applied Materials P-5000 P-5000 RF GENERATOR

Applied Materials Centura 5200 AP DPS2 Advantedge Carina Mesa Centura 5200 AP DPS2 Advantedge Carina Mesa Dry Etch Cluster Tool - 2 CHAMBER - METAL ETCH PROCESS

CAJON SS-8-VC0-4 SS-8-VC0-4 FITTINGS

Credence 671-4098-02 671-4098-02 DUO SX Master Clock Dist. PCB

EDA Industries PCBA 05568 REV 1.2 PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 6 drivers

ENI OEM-6 OEM-6 Generator

FSI Saturn OC Saturn OC Manuals

Karl Suss Micro Tec MA200 MA200 Mask Aligner with CIC1000 lamp housing

KLA-Tencor 750-400159-00 REV A for KLA 5xxx 750-400159-00 REV A for KLA 5xxx MATROX VIP 1024 for a KLA 5XXX overlay system

MATRIX 0995-99842 0995-99842 system one etcher model 303 installations and operations manual

NITROGEN PRESSURE VALVE

RF Services RFS 1000 RFS 1000 Match

Seren AT6 AT6 Match

Tegal Tegal Match Tegal Match Match

Teradyne 950-541-00 REV A 950-541-00 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 53420 9208

Yield Engineering 15F 15F HMDS Vapor Prime Oven

AMAT P5000 SiN 5936 CVD 6"

Advantech PCL-730 PCL-730 32-ch Isolated Digital I/O Card w/32ch TTL DIO

AE (Advanced Energy) MDX 20K Master MDX 20K Master Generator

Applied Materials PVD RF MATCH PVD RF MATCH RF GENERATOR

Applied Materials 0020-20523 0020-20523 COVER

Cam York ST-17-08-153-01-154-01-0-00-C010(1) ST-17-08-153-01-154-01-0-00-C010(1) Centrifual Blower Motor 1/8hp 220V 0.65A 30 RPM

Credence 671-4635-01 671-4635-01 Testhead connector PCB board, from a Credence Duo SX test system

EDA Industries PCBA 05568 REV 1.6 PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven with 6 drivers

ENI OEM-6 OEM-6 Generator

FSI ISR Maual ISR Maual In Situ Rinse Prints & Parts Structures Manual

Karl Suss Micro Tec PA-200 PA-200 Wafer Prober Station

KLA-Tencor 730-400083-00 REV G for a KLA 5xxx 730-400083-00 REV G for a KLA 5xxx PZT CONTROLLER for a KLA 5xxx system

MATRIX 0995-99844 0995-99844 Installation and operations manual

NITSUKO BCR 2600/BCV 5050 BCR 2600/BCV 5050 POWER SUPPLY

RF Services RFS 1000 RFS 1000 Match

Seren AT6 AT6 Match

Tegal Tegal Match Tegal Match Match

Teradyne 950-421-01/A 950-421-01/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61819 9517

Yield Engineering LP#-M3 LP#-M3 HMDS Vapor Prime Oven

AMAT P5000 2x DXL SACVD 2x ETCH 4748 ETCH 8"

Advantech PCL-745B PCL-745B 2-port RS-422/485 ISA COMM Card w/ isolation

AE (Advanced Energy) MDX 20K Master MDX 20K Master Generator

Applied Materials CENTURA DPS ll MESA T2 CENTURA DPS ll MESA T2 Poly Etcher

Applied Materials Centura AP DPS II Advantedge Centura AP DPS II Advantedge Polysilicon Etcher with 4 chambers

Cambridge EBMF 10.5 EBMF 10.5 E-Beam Lithography

Credence 671-1461-04 671-1461-04 Intersegment communications board

EDA Industries PCBA 05568 REV 1.3 PCBA 05568 REV 1.3 Pattern test Driver module for BIB oven with 3 x PSU

ENI OEM-6 OEM-6 Generator

FSI Excalibur Excalibur Vapour Phase Processing system Supplementary training manual

Karl Suss Micro Tec PA200 PA200 Wafer Prober Station

KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx 712-404056-00 Rev B for a KLA 5xxx ASSY BOARD for a KLA 5xxx overlay system

MATRIX 0995-99829 0995-99829 Oem user's manual

Nitto N286M N286M Wafer Mounter

RF Services RFS 1000M RFS 1000M Match

Seren AT6M AT6M Match

Tegal Tegal Match Tegal Match Match

Teradyne 950-713-00 950-713-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 2220 9702 Z18XX

Yield Engineering 15 15 HMDS Vapor Prime Oven

AMAT P5000 1x DXL 1x ETCH 4238 ETCH 8"

ADVANTEST M6751AD M6751AD Test Handler

AE (Advanced Energy) MDX 20K Master MDX 20K Master Generator

Applied Materials P5000 CVD P5000 CVD Delta Teos

Applied Materials 0240-70416 REV C 0240-70416 REV C KIT BLOW OUT VALVE PER CHAMBER

CAMECA EX-300 EX-300 Implant Dosing Measurement

CTI Cryogenics 9600 9600 CRYOGENIC COMPRESSOR

EDA Industries PCBA 05568 REV 1.4 PCBA 05568 REV 1.4 Pattern test Driver PCB for BIB oven

ENI OEM-6 OEM-6 Generator

FSI PLC Expansion PLC Expansion PLC expansion Project Manual

Kawasaki 3NS411B-F006 3NS411B-F006 Robot with cable and Controller

KLA-Tencor 710-400412-00 Rev K 710-400412-00 Rev K PCB for a KLA 5xxx system

MATSUSHITA BBC 35 N BBC 35 N INTERRUPTOR CAP SYS

Nitto TT1R2-1 TT1R2-1 teach pendant for robot TT1R2-1

RF Services RFS 2502 RFS 2502 Match

Seren Controller Controller Miscellaneous

TEKTRONIX TDS720P TDS720P Oscilloscope

Teradyne 950-220-02 rev a 950-220-02 rev a Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 42419 9251

Yield Engineering 58SM 58SM HMDS Vapor Prime Oven

AMAT PRODUCER SE ACL (2CH) 413709 CVD 12"

ADVANTEST T5382A1 T5382A1 Test System

AE (Advanced Energy) MDX 20K Master MDX 20K Master Generator

Applied Materials CENTURA DPS ll MESA T2 CENTURA DPS ll MESA T2 Poly Etcher

Applied Materials 0190-20015 REV E 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR

CAMECA EX300 EX300 Secondary Ion Mass Spectrometer

CTI cryogenics CRYOTORR-100 CRYOTORR-100 Cryopump

EDA Industries PCBA 05568 REV 1.6 PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers

ENI OEM-6 OEM-6 Generator

FSI 907051-100 907051-100 Excalibur ISR Operation and Maintenance Manual

Kawasaki 3NX540B-A302 3NX540B-A302 atmospheric wafer robot( AMAT producer)

KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx 712-404056-00 Rev B for a KLA 5xxx PCB for a KLA 5xxx system

MATSUSHITA HP2-DC 24V HP2-DC 24V RELAY HP

Nitto MA 3000 II MA 3000 II Wafer tape mounter and demounter

RF Services RFS 3002 ICP RFS 3002 ICP Match

Seren I1827MWF I1827MWF Generator

Tektronix TDS694C TDS694C Digital 3 GHz real-time oscilloscope

Teradyne 950-777-01 950-777-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 46500L 9513

YOKOGAWA TS6700 TS6700 LCD DRIVER TESTER

AMAT PRODUCER SE ACL (2CH) 410140 CVD 12"

ADVANTEST T5585 T5585 Test System

AE (Advanced Energy) MDX Controller MDX Controller Miscellaneous

Applied Materials e-MAX RF MATCH e-MAX RF MATCH RF GENERATOR

Applied Materials ENDURA CL Chamber only ENDURA CL Chamber only AxZ

Camloc RS-182 RS-182 GAS SPRING

CTI Cryogenics 8033168 8033168 Torr High Vacuum Pump

EDA Industries PCBA 05568 REV 1.2 PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 3 x PSU

ENI OEM-650A OEM-650A Generator

FSI HELIOS 52 HELIOS 52 Prints & Products structures Manual

Kawasaki NS410B-A002 NS410B-A002 atmospheric wafer robot( AMAT producer)

KLA-Tencor 750-400339-00 Rev H from a KLA 5xxx 750-400339-00 Rev H from a KLA 5xxx PCB for a KLA 5xxx system

MATTSON PARADIGM_SI PARADIGM_SI DRY ETCH

Nitto HR8500-2 HR8500-2 INSTRUCTION MANUAL

RF Services RFS 3002A RFS 3002A Match

Seren I1827MWF I1827MWF Generator

Tektronix TDS 544A TDS 544A Color 4 channel digitizing oscilloscope

Teradyne 950-572-04 Rev. A 950-572-04 Rev. A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61219 9345

Yokogawa AL6095 AL6095 Automated test equipment

AMAT CENTURA (1)xDPN/(2)xRTP 416757 RTP 12"

ADVANTEST M6541AD M6541AD Test Handler

AE (Advanced Energy) MDX DELTA SLAVE MDX DELTA SLAVE Generator

Applied Materials P5000 CVD P5000 CVD TEOS, DxL

Applied Materials 0190-20015 REV D 0190-20015 REV D VALVE / MANIFOLD

Camtek EM3 EM3 TEM and SEM sample preparation system

CTI Cryogenics 8033167 8033167 Torr High Vacuum Pump

EDA Industries PCBA 05568 REV 1.6 PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers

ENI OEM-650A OEM-650A Generator

FSI Excalibur 200mm Excalibur 200mm Supplier Manual

Keller VARIO-T 1.0-SC8-B30-HD VARIO-T 1.0-SC8-B30-HD Scrubber / Compact Dust Separator for Baccini laser unit exhaust air

KLA-Tencor 073-401-320 for a kla 5xxx 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system

MATTSON PARADIGM_SI PARADIGM_SI Poly-silicon etcher

Nitto DR8500-2 DR8500-2 INSTRUCTION MANUAL

RF Services RFS 3002A ICP RFS 3002A ICP Match

Seren I1827MWF I1827MWF Generator

TEKTRONIX 7704 7704 Oscilloscope

Teradyne 950-569-03/A 950-569-03/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314

Yokogawa ST6730A ST6730A Logic Tester

AMAT CENTURA E-MAX CT (3CH) 319082 ETCH 12"

ADVANTEST T5377 T5377 Automated test Equipment

AE (Advanced Energy) MDX II Calibration Box MDX II Calibration Box Miscellaneous

Applied Materials CENTURA DPS ll MESA T2 CENTURA DPS ll MESA T2 Poly Etcher

B02-02-AE-MESA